Kart/sensors/HCSR04

From FSI
(Difference between revisions)
Jump to: navigation, search
(Ultrasound ranger)
(Ultrasound ranger)
 
(4 intermediate revisions by 2 users not shown)
Line 4: Line 4:
 
The [http://www.elecfreaks.com elecfreaks]
 
The [http://www.elecfreaks.com elecfreaks]
 
[http://www.elecfreaks.com/store/hcsr04-ultrasonic-sensor-distance-measuring-module-ultra01-p-91.html Ultrasonic sensor distance measuring module]
 
[http://www.elecfreaks.com/store/hcsr04-ultrasonic-sensor-distance-measuring-module-ultra01-p-91.html Ultrasonic sensor distance measuring module]
receives a trigger pulse, sends an ultrasonic pulse train and the provides a pulse whose length is proportional to the sound flight and echo time.
+
receives a trigger pulse, <code>startMeasure</code>, sends an ultrasonic pulse train
 +
and then provides a pulse, <code>distancePulse</code>, whose length is proportional to the sound flight and echo time.
 +
 
 +
[[File:Ultrasound ranger.svg|center|500px]]
  
 
It has a dedicated [[Kart/sensor board#Ultrasound ranger|connector]] on the [[Kart/sensor board|I/O board]].
 
It has a dedicated [[Kart/sensor board#Ultrasound ranger|connector]] on the [[Kart/sensor board|I/O board]].
Line 12: Line 15:
  
 
:: <math>distance = count \cdot 0.0017 ~ [cm]</math>
 
:: <math>distance = count \cdot 0.0017 ~ [cm]</math>
 +
 +
There is approximately 500 us between the trigger and the start of the answer.
 +
Moreover, for ranges of about 20-30 cm, the return signal duration is of an order of 2 ms.
 +
Thus, a measurement cycle should take at least something like 10 ms, achieving a maximal measurement rate of about 100Hz.
  
 
[[Category:Kart]]
 
[[Category:Kart]]

Latest revision as of 08:19, 24 August 2016

Contents

Ultrasound ranger

The elecfreaks Ultrasonic sensor distance measuring module receives a trigger pulse, startMeasure, sends an ultrasonic pulse train and then provides a pulse, distancePulse, whose length is proportional to the sound flight and echo time.

Ultrasound ranger.svg

It has a dedicated connector on the I/O board.

The ultrasoundRanger block in the sensors FPGA counts the ultrasonic pulse length in terms of the 10 MHz clock periods. The distance is thus given by:

distance = count \cdot 0.0017 ~ [cm]

There is approximately 500 us between the trigger and the start of the answer. Moreover, for ranges of about 20-30 cm, the return signal duration is of an order of 2 ms. Thus, a measurement cycle should take at least something like 10 ms, achieving a maximal measurement rate of about 100Hz.

Personal tools
Namespaces
Variants
Actions
Navigation
Modules / Projects
Browse
Toolbox