Kart/sensors/HCSR04

From FSI
(Difference between revisions)
Jump to: navigation, search
(Created page with "{{TOC right}} == Kart connection == The sensor connectors are located in the I/O board's middle row. It is the single 5-pin connector. The sensor cable is to be connected as:...")
 
(Ultrasound ranger)
 
(7 intermediate revisions by 2 users not shown)
Line 1: Line 1:
 
{{TOC right}}
 
{{TOC right}}
== Kart connection ==
+
== Ultrasound ranger ==
  
The sensor connectors are located in the I/O board's middle row.
+
The [http://www.elecfreaks.com elecfreaks]
It is the single 5-pin connector.
+
[http://www.elecfreaks.com/store/hcsr04-ultrasonic-sensor-distance-measuring-module-ultra01-p-91.html Ultrasonic sensor distance measuring module]
The sensor cable is to be connected as:
+
receives a trigger pulse, <code>startMeasure</code>, sends an ultrasonic pulse train
{| cellpadding="3" cellspacing="0" border="1"
+
and then provides a pulse, <code>distancePulse</code>, whose length is proportional to the sound flight and echo time.
! cable
+
 
! pin
+
[[File:Ultrasound ranger.svg|center|500px]]
|-
+
 
| red
+
It has a dedicated [[Kart/sensor board#Ultrasound ranger|connector]] on the [[Kart/sensor board|I/O board]].
| 5 V power supply
+
 
|-
+
The <code>ultrasoundRanger</code> block in the <code>sensors</code> FPGA counts the ultrasonic pulse length in terms of the 10&nbsp;MHz clock periods.
| yellow
+
The distance is thus given by:
| measurement start (trig)
+
 
|-
+
:: <math>distance = count \cdot 0.0017 ~ [cm]</math>
| green
+
 
| echo signal (distance)
+
There is approximately 500 us between the trigger and the start of the answer.
|-
+
Moreover, for ranges of about 20-30 cm, the return signal duration is of an order of 2 ms.
| black
+
Thus, a measurement cycle should take at least something like 10 ms, achieving a maximal measurement rate of about 100Hz.
| ground
+
|}
+
  
 
[[Category:Kart]]
 
[[Category:Kart]]

Latest revision as of 08:19, 24 August 2016

Contents

Ultrasound ranger

The elecfreaks Ultrasonic sensor distance measuring module receives a trigger pulse, startMeasure, sends an ultrasonic pulse train and then provides a pulse, distancePulse, whose length is proportional to the sound flight and echo time.

Ultrasound ranger.svg

It has a dedicated connector on the I/O board.

The ultrasoundRanger block in the sensors FPGA counts the ultrasonic pulse length in terms of the 10 MHz clock periods. The distance is thus given by:

distance = count \cdot 0.0017 ~ [cm]

There is approximately 500 us between the trigger and the start of the answer. Moreover, for ranges of about 20-30 cm, the return signal duration is of an order of 2 ms. Thus, a measurement cycle should take at least something like 10 ms, achieving a maximal measurement rate of about 100Hz.

Personal tools
Namespaces
Variants
Actions
Navigation
Modules / Projects
Browse
Toolbox