SEm/laboratoires/09 pipeline

(Difference between revisions)
Jump to: navigation, search
(Introduction)
(Additionneur combinatoire)
Line 12: Line 12:
 
{{TaskBox|content=
 
{{TaskBox|content=
 
Ouvrir le banc de test de l'additionneur combinatoire <code>parallelAdder_tb</code>,
 
Ouvrir le banc de test de l'additionneur combinatoire <code>parallelAdder_tb</code>,
écrire l'architecture VHDL de l'additionneur <code>parallelAdder</code> et simuler le tout..
+
écrire l'architecture VHDL de l'additionneur <code>parallelAdder</code>
 +
et vérifier le bon fonctionnement du tout.
 +
}}
 +
 
 +
== Additionneur itératif ==
 +
 
 +
L'additionneur rapide utilisera un ensemble d'additionneurs combinatoires.
 +
 
 +
{{TaskBox|content=
 +
Dans la librairie <code>pipelinedOperators</code>, définir l'architecture <code>noPipe</code>
 +
comme architecture par défaut du bloc <code>pipelineAdder</code>.
 +
}}
 +
 
 +
L'architecture <code>noPipe</code> donne un exemple de description VHDL d'un système itératif
 +
par le placement de composants à l'aide d'une boucle <code>for&nbsp;…&nbsp;generate</code>.
 +
 
 +
{{TaskBox|content=
 +
Ouvrir le banc de test de l'additionneur combinatoire <code>pipelineAdder_tb</code>,
 +
écrire l'architecture VHDL de l'additionneur <code>parallelAdder</code> et simuler le tout.
 
}}
 
}}
  

Revision as of 11:05, 28 April 2016

Contents

Additionneur rapide

Introduction

Dans ce laboratoire, nous allons reprendre un additionneur rapide utilisant la technique du "pipeline".

Additionneur combinatoire

L'additionneur rapide se basera sur la mise en série d'additionneurs plus petits.


View-pim-tasks.png

Ouvrir le banc de test de l'additionneur combinatoire parallelAdder_tb, écrire l'architecture VHDL de l'additionneur parallelAdder et vérifier le bon fonctionnement du tout.

Additionneur itératif

L'additionneur rapide utilisera un ensemble d'additionneurs combinatoires.


View-pim-tasks.png

Dans la librairie pipelinedOperators, définir l'architecture noPipe comme architecture par défaut du bloc pipelineAdder.

L'architecture noPipe donne un exemple de description VHDL d'un système itératif par le placement de composants à l'aide d'une boucle for … generate.


View-pim-tasks.png

Ouvrir le banc de test de l'additionneur combinatoire pipelineAdder_tb, écrire l'architecture VHDL de l'additionneur parallelAdder et simuler le tout.


Navigation
Arrow left.gif Main Page Arrow up.gif Travaux de laboratoire - Arrow right.gif

Personal tools
Namespaces
Variants
Actions
Navigation
Modules / Projects
Browse
Toolbox