SEm/labore/04 synthese

(Difference between revisions)
Jump to: navigation, search
(Synthese)
Line 33: Line 33:
 
=== Erstellung der Datei ===
 
=== Erstellung der Datei ===
  
{{TaskBox|Bestimmen Sie den Namen der generierten Datei mit dem Befehl '''Options -> Main... -> User variables: concat_file = sineGen'''.}}
+
{{TaskBox|Bestimmen Sie den Namen der generierten Datei mit dem Befehl '''Options -> Main... -> User variables: concat_file sineGen'''.}}
  
 
{{TaskBox|Starten Sie den Task '''Prepare for synthesis'''.}}
 
{{TaskBox|Starten Sie den Task '''Prepare for synthesis'''.}}
Line 56: Line 56:
 
=== Konfiguration ===
 
=== Konfiguration ===
  
{{TaskBox|Speisen Sie das FPGA-Board und schliessen Sie das JTAG-Downloadkabel an.}}
+
{{TaskBox|
 
+
# Speisen Sie das FPGA-Board und schliessen Sie das JTAG-Downloadkabel an.
{{TaskBox|Starten Sie die Befehle '''Generate Programming File''' und '''Configure Target Device'''.}}
+
# Starten Sie die Befehle '''Generate Programming File''' und '''Configure Target Device'''.
 
+
# TaskBox|Laden Sie die Konfiguration ins FPGA.}}
{{TaskBox|Laden Sie die Konfiguration ins FPGA.}}
+
  
 
=== Tests ===
 
=== Tests ===

Revision as of 18:19, 11 June 2013

Contents

Automatische Synthese

Einleitung

Dieses Labor zeigt die automatische Synthese von VHDL-Code.

Es basiert auf dem Beispiel des Funktionsgenerators. Die Zusammensetzung von zwei verschiedenen Sinuswellen erlaubt es Lissajous-Figuren zu zeichnen.

Lissajous-Figur

Synthese

Zu erstellende Schaltung

Auf das obersten Level der zu erstellenden Schaltung kommen die Ein- / Ausgangsblöcke. Hier findet man z.B. Polaritätsadapter, Tri-State-Puffer, Synchronisationslogik, ...

In unserem Beispiel werden wir zwei Sinusgeneratoren, zwei Sigma-Delta-Modulatoren und eine Synchronisationslogik für das Reset-Signal setzten.

Wir werden auch den Viereck-Ausgang eines der Generatoren verwenden um ein Synchronisationssignal für das Oszilloskop zu erstellen.

Die Schaltung befindet sich in der Library Board, die Testbank in der Library SineInterpolator_test.

Schaltung

View-pim-tasks.png

ToDo some code

Eine Simulation der Schaltung auf diesem Level erlaubt es die Funktionalität des Systems sicherzustellen.

Erstellung der Datei

View-pim-tasks.png

ToDo some code


View-pim-tasks.png

ToDo some code

Synthese

View-pim-tasks.png

ToDo some code

Place und Route

View-pim-tasks.png

ToDo some code

Konfiguration

View-pim-tasks.png

ToDo some code

Tests

View-pim-tasks.png

ToDo some code


View-pim-tasks.png

ToDo some code


Navigation
Arrow left.gif 03 Digital / Analog Wandler Arrow up.gif Anleitung auf Deutsch 05 AMBA-Bus basiertes System Arrow right.gif

Personal tools
Namespaces
Variants
Actions
Navigation
Modules / Projects
Browse
Toolbox