SEm/labore/09 high speed addierer

(Difference between revisions)
Jump to: navigation, search
(Iterativer Addierer)
(Iterativer Addierer)
Line 16: Line 16:
 
== Iterativer Addierer ==
 
== Iterativer Addierer ==
  
Der High-speed Addierer verwendet eine Zusammensetzung aus kombinatorischen Addierer. In einem ersten Schritt wird dieser Addierer noch ohne Pipeline implementiert, um zu verstehen, wie Komponenteninstanzen und Schleifen in VHDL benutzt werden können.
+
Der High-speed Addierer verwendet eine Zusammensetzung aus kombinatorischen Addierern. In einem ersten Schritt wird dieser Addierer noch ohne Pipeline implementiert, um zu verstehen, wie Komponenteninstanzen und Schleifen in VHDL benutzt werden können.
  
 
[[File:Sem labs iterative adder.svg|center|Iterativer Addierer]]
 
[[File:Sem labs iterative adder.svg|center|Iterativer Addierer]]

Revision as of 08:10, 24 April 2017

Contents

High-speed Addierer

Einleitung

In diesem Labor werden wir einen High-speed Addierer mithilfe der Pipeline-Technologie implementieren.

Kombinatorischer Addierer

Der High-speed Addierer wird durch kleine, in Serie geschaltenen Addierern realisiert.


View-pim-tasks.png

Öffnen Sie die Testbank parallelAdder_tb, schreiben Sie die VHDL Architektur des Addierers parallelAdder und stellen Sie sicher, dass alles ordnungsgemäss funktioniert.

Iterativer Addierer

Der High-speed Addierer verwendet eine Zusammensetzung aus kombinatorischen Addierern. In einem ersten Schritt wird dieser Addierer noch ohne Pipeline implementiert, um zu verstehen, wie Komponenteninstanzen und Schleifen in VHDL benutzt werden können.

Iterativer Addierer


View-pim-tasks.png

In der Library pipelinedOperators, wird die Architektur noPipe definiert, sowie die Default-Architektur pipelineAdder.

Die Architektur noPipe, zeigt ein Beispiel, wie eine iterative Struktur anhand einer for-generate-Schlaufe implementiert werden kann. for … generate.


View-pim-tasks.png

Öffnen Sie die Testbank pipelineAdder_tb des kombinatorischen Addierers und stellen Sie sicher, dass alles ordnungsgemäss funktioniert.

High-speed Addierer

Für den High-speed Addierer werden FlipFlops zwischen den kombinatorischen Adddierern hinzugefügt.

Addierer mit Pipeline


View-pim-tasks.png

In der Library pipelinedOperators ist die Architektur studentVersion sowie die Default-Architektur pipelineAdder gegeben.


View-pim-tasks.png

Schreiben Sie die Architektur des Addierers in VHDL pipelineAdder und stellen Sie sicher, dass alles ordnungsgemäss funktioniert.

High-speed Zähler

Der High-speed Zähler kann eine neue Summe zu jeder Taktperiode liefern. Es wird eine gewisse Anzahl Taktperioden dauern, bis die neue Summe zur Verfügung steht.


View-pim-tasks.png

Erklären Sie, wie ein High-speed Zähler mithilfe des High-speed Addierers realisiert werden kann.


Navigation
Arrow left.gif 08 Realisierung eines AMBA-SoCs Arrow up.gif Laborprojekte - Arrow right.gif

Personal tools
Namespaces
Variants
Actions
Navigation
Modules / Projects
Browse
Toolbox