https://wiki.hevs.ch/uit/api.php5?action=feedcontributions&user=Admin+eda&feedformat=atomUIT - User contributions [en]2024-03-28T10:13:59ZUser contributionsMediaWiki 1.18.1https://wiki.hevs.ch/uit/index.php5/Tools/SublimeTextTools/SublimeText2012-10-24T13:16:25Z<p>Admin eda: Created page with "{{TOC right}} https://www.sublimetext.com/"</p>
<hr />
<div>{{TOC right}}<br />
https://www.sublimetext.com/</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/ToolsTools2012-10-24T13:16:15Z<p>Admin eda: /* Editors */</p>
<hr />
<div>{{TOC right}}<br />
'''Installation and How-to-use Guides about the most used tools at HES-SO//VS'''<br />
== Licenses & Versions ==<br />
* [[{{PAGENAME}}/Setup&Licensing|Setup & Licensing]]<br />
* [[{{PAGENAME}}/Versions|Versions]]<br />
<br />
== Editors ==<br />
* [[{{PAGENAME}}/Mentor_HDL_Designer|Mentor HDL Designer]]<br />
* [[{{PAGENAME}}/Eclipse|Eclipse]]<br />
* [[{{PAGENAME}}/Notepad++|Notepad++]]<br />
* [[{{PAGENAME}}/SublimeText|Sublime Text]]<br />
<br />
== Simulators ==<br />
* [[{{PAGENAME}}/Mentor_Modelsim|Mentor Modelsim]]<br />
* [[{{PAGENAME}}/Mentor_Questasim|Mentor Questasim]]<br />
<br />
== Synthesis / Place&Route ==<br />
* [[{{PAGENAME}}/Synopsys_Synplify|Synopsys Synplify]]<br />
<br />
== IDE ==<br />
* [[{{PAGENAME}}/Microsemi_Libero|Microsemi Libero]]<br />
* [[{{PAGENAME}}/Xilinx_ISE|Xilinx ISE]]<br />
* [[{{PAGENAME}}/QtCreator|QtCreator]]<br />
<br />
== Others ==<br />
* [[{{PAGENAME}}/Dia|Dia]]<br />
* [[{{PAGENAME}}/Novell_linux|Novell Drive Access for Linux]]<br />
* [[{{PAGENAME}}/Octave_Matlab|Octave/Matlab]]<br />
* [[{{PAGENAME}}/Timing_Analyzer|Timing Analyzer]]<br />
* [[{{PAGENAME}}/Visio|Visio]]<br />
<br />
[[Category:Tools]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Tools/Notepad%2B%2BTools/Notepad++2012-10-24T13:13:25Z<p>Admin eda: Created page with "{{TOC right}} https://www.notepad-plus-plus.org/"</p>
<hr />
<div>{{TOC right}}<br />
https://www.notepad-plus-plus.org/</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Help:ContentsHelp:Contents2012-10-22T14:27:02Z<p>Admin eda: /* Novell User with write rights */</p>
<hr />
<div>{{TOC right}}<br />
<br />
'''Need help for creating pages? You've come to the right place.'''<br />
<br />
== HES-SO Wiki Guidelines ==<br />
HES-SO has for this wiki some basic [[Help:Guidelines|Guidelines]] about the following themes:<br />
* [[Help:Guidelines#Page Names|Page Names]]<br />
* [[Help:Guidelines#Categories|Categories]]<br />
* [[Help:Guidelines#Navigation|Navigation]]<br />
* [[Help:Guidelines#Maintainer|Maintainer]]<br />
<br />
== Common used Syntax ==<br />
See this page for the most common used Syntax [[Help:Syntax|Shortguide for the Syntax]]<br />
* [[Help:Syntax#Access Control|Access Control]]<br />
* [[Help:Syntax#Formatting|Formatting]]<br />
* [[Help:Syntax#Tables|Tables]]<br />
* [[Help:Syntax#Links|Links]]<br />
* [[Help:Syntax#Images|Images / Files]]<br />
* [[Help:Syntax#Table of Content|Table of Content]]<br />
* [[Help:Syntax#Navigation|Navigation]]<br />
* [[Help:Syntax#Categories|Categories]]<br />
For more detailed help see also the [https://www.mediawiki.org/wiki/Help:Contents#Editing official MediaWiki Help page].<br />
<br />
== Extentions ==<br />
In this wiki you have several extentions available. See the [[Help:Extentions|Shortguide for Extentions]]<br />
* [[Help:Extentions#Math|Math]]<br />
* [[Help:Extentions#Syntax_Highlighting_Geshi|Syntax Highlighting Geshi]]<br />
* [[Help:Extentions#Subpagelist|Sub Page List]]<br />
* [[Help:Extentions#IssueTracker|Issue Tracker]]<br />
* [[Help:Extentions#Article_Comment|Article Comment]]<br />
* [[Help:Extentions#File_.26_Picture.2C_Upload_.26_Download|File & Picture Upload]]<br />
* [[Help:Extentions#RSS_Feed|RSS]]<br />
<br />
== Novell User with write rights ==<br />
There are a number of Users which have write privileges. See the [[Special:ListUsers|User List]] page or below.<br />
* [[User:ams|Amoos Serge]] (ams)<br />
* [[User:bap|Ballestraz Philippe]] (bap)<br />
* [[User:bem|Bernabei Mario]] (bem)<br />
* [[User:bic|Bianci Christophe]] (bic)<br />
* [[User:blv|Blatter-Robyr Veronique]] (blv)<br />
* [[User:cac|Castellaro Christian]] (cac)<br />
* [[User:chn|Chatton Nils]] (chn)<br />
* [[User:cho|Cherix Romain]] (cho)<br />
* [[User:clm|Clausen Michael]] (clm)<br />
* [[User:cof|Corthay François]] (cof)<br />
* [[User:cuy|Cuenin Yann]] (cuy)<br />
* [[User:daa|Dayer Aline]] (daa)<br />
* [[User:frd|Friedli Diego]] (frd)<br />
* [[User:fud|Furrer Dominic]] (fud)<br />
* [[User:gad|Gabioud Dominique]] (gad)<br />
* [[User:gal|Ganchinho Alexandre]] (gal)<br />
* [[User:gem|Geiser Martial]] (gem)<br />
* [[User:guo|Gubler Oliver]] (guo)<br />
* [[User:hit|Hischier Thierry]] (hit)<br />
* [[User:iwj|Iwanowski Jean]] (iwj)<br />
* [[User:kar|Kanan Riad]] (kar)<br />
* [[User:kil|Kilchner Lucio]] (kil)<br />
* [[User:mag|Maître Gilbert]] (mag)<br />
* [[User:moj|Moerschell Joseph]] (moj)<br />
* [[User:mui|Mudry Pierre-André]] (mui)<br />
* [[User:per|Petrovic Darko]] (per)<br />
* [[User:pim|Pignat Marc]] (pim)<br />
* [[User:pom|Pompili Pierre]] (pom)<br />
* [[User:prb|Praplan Bastien]] (prb)<br />
* [[User:prc|Praplan Charles]] (prc)<br />
* [[User:rim|Rieder Medard]] (rim)<br />
* [[User:roi|Roduit Pierre]] (roi)<br />
* [[User:rog|Roggo Dominique]] (rog)<br />
* [[User:rut|Rudaz Patrice]] (rut)<br />
* [[User:sac|Salamin Chantal]] (sac)<br />
* [[User:sap|Sartoretti Pascal]] (sap)<br />
* [[User:sth|Sterren Thomas]] (sth)<br />
* [[User:trf|Truffer Frederic]] (trf)<br />
* [[User:zas|Zahno Silvan]] (zas)<br />
<br />
== Special User ==<br />
* [[User:admin_uit|admin_uit]]<br />
* [[User:guest|guest]]<br />
<br />
== Useful Special Wikipages ==<br />
* [[MediaWiki:Sidebar|MediaWiki Sidebar Links]]<br />
* [[MediaWiki:Geshi.css|Geshi Extentions CSS]]<br />
* [[Default_Page|Default Template Page]]<br />
<br />
== Created Templates ==<br />
* [[Template:TOC_right|TOC right template]]<br />
* [[Template:private|Private template]]<br />
* [[Template:public|Public template]]<br />
* [[Template:nav|Navigation template]]<br />
* [[Template:navNamed|Named Navigation template]]<br />
<br />
<br />
[[Category:Help]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Standards/Ethernet/IP_addressesStandards/Ethernet/IP addresses2012-06-18T12:24:08Z<p>Admin eda: /* HES-SO IP adresses */</p>
<hr />
<div>{{private}}<br />
{{TOC right}}<br />
<br />
A [http://http://en.wikipedia.org/wiki/IP_address Internet Protocol address] (IP address) is a unique identifier inside a network assigned to each device.<br />
<br />
== HES-SO IP adresses ==<br />
<br />
The EDA Unit choose for the FPGA development cards the following IP addresses.<br />
<br />
* IP Address<br />
** <code>192.168.111.xxx</code><br />
where <code>xxx</code> will be the FPGA Board number located on the board itself.<br />
<br />
Example: in FPGA-EBS2 #30 board has IP address <code>192.168.111.30</code>, in Hex <code>C0.A8.6F.1E</code><br />
<br />
[[Category:Standards]] [[Category:Ethernet]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Standards/Ethercat/ETGStandards/Ethercat/ETG2012-06-06T14:53:14Z<p>Admin eda: </p>
<hr />
<div>{{private}}<br />
{{TOC right}}<br />
<br />
= Login Information =<br />
<pre><br />
Username: ISI_HESSO<br />
Password: nFiszS<br />
</pre><br />
<br />
= ETG Vendor ID =<br />
Prior to get access to IP-Cores and Beckhoff Board a Vendor ID need to be created.<br />
<br />
The HESSO Vendor ID is the ASCII letter "HEVs" in HEX Format:<br />
<pre><br />
0x48455673<br />
</pre><br />
<br />
[[Category:Standards]] [[Category:Ethernet]] [[Category:Ethercat]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Template:PrivateTemplate:Private2012-06-06T14:49:26Z<p>Admin eda: </p>
<hr />
<div><accesscontrol>Administrators,,wikieda</accesscontrol><noinclude><br />
== Usage ==<br />
It allows to keep a page private and only accessible by a registered Novell user. Copy following code into any wiki page<br />
<pre><nowiki>{{private}}</nowiki> </pre><br />
</noinclude></div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Template:TOC_rightTemplate:TOC right2012-06-06T14:48:34Z<p>Admin eda: </p>
<hr />
<div>{| cellspacing="0" cellpadding="0" style="clear: {{{clear|right}}}; margin-bottom: .5em; float: right; padding: .5em 0 .8em 1.4em; background: none; width: {{{width|{{{1|auto}}}}}};" {{#if:{{{limit|}}}|class="toclimit-{{{limit}}}"}}<br />
| __TOC__<br />
|}</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Template:TOC_rightTemplate:TOC right2012-06-06T14:46:38Z<p>Admin eda: </p>
<hr />
<div>{| cellspacing="0" cellpadding="0" style="clear: {{{clear|right}}}; margin-bottom: .5em; float: right; padding: .5em 0 .8em 1.4em; background: none; width: {{{width|{{{1|auto}}}}}};" {{#if:{{{limit|}}}|class="toclimit-{{{limit}}}"}} | __TOC__<br />
|}<br />
<noinclude><br />
== Usage ==<br />
Copy following code into any wiki page. It simply pushes the Table of Content (TOC) to the right of the page and makes it floating.<br />
<br />
<pre><nowiki>{{TOC_right}} </nowiki> </pre><br />
<br />
</noinclude></div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Template:TOC_rightTemplate:TOC right2012-06-06T14:46:05Z<p>Admin eda: </p>
<hr />
<div>{| cellspacing="0" cellpadding="0" style="clear: {{{clear|right}}}; margin-bottom: .5em; float: right; padding: .5em 0 .8em 1.4em; background: none; width: {{{width|{{{1|auto}}}}}};" {{#if:{{{limit|}}}|class="toclimit-{{{limit}}}"}} | __TOC__ |}<br />
<noinclude><br />
== Usage ==<br />
Copy following code into any wiki page. It simply pushes the Table of Content (TOC) to the right of the page and makes it floating.<br />
<br />
<pre><nowiki>{{TOC_right}} </nowiki> </pre><br />
<br />
</noinclude></div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Template:TOC_rightTemplate:TOC right2012-06-06T14:45:30Z<p>Admin eda: </p>
<hr />
<div>{| cellspacing="0" cellpadding="0" style="clear: {{{clear|right}}}; margin-bottom: .5em; float: right; padding: .5em 0 .8em 1.4em; background: none; width: {{{width|{{{1|auto}}}}}};" {{#if:{{{limit|}}}|class="toclimit-{{{limit}}}"}}<br />
| __TOC__<br />
|}<br />
<noinclude><br />
== Usage ==<br />
Copy following code into any wiki page. It simply pushes the Table of Content (TOC) to the right of the page and makes it floating.<br />
<br />
<pre><nowiki>{{TOC_right}} </nowiki> </pre><br />
<br />
</noinclude></div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Template:TOC_rightTemplate:TOC right2012-06-06T14:44:54Z<p>Admin eda: </p>
<hr />
<div><noinclude><br />
== Usage ==<br />
Copy following code into any wiki page. It simply pushes the Table of Content (TOC) to the right of the page and makes it floating.<br />
<br />
<pre><nowiki>{{TOC_right}} </nowiki> </pre><br />
<br />
</noinclude><br />
<br />
{| cellspacing="0" cellpadding="0" style="clear: {{{clear|right}}}; margin-bottom: .5em; float: right; padding: .5em 0 .8em 1.4em; background: none; width: {{{width|{{{1|auto}}}}}};" {{#if:{{{limit|}}}|class="toclimit-{{{limit}}}"}}<br />
| __TOC__<br />
|}<br />
<noinclude><br />
{{documentation}}<br />
<!-- Add cats and interwikis to the /doc subpage, not here! --><br />
</noinclude></div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Template:TOC_rightTemplate:TOC right2012-06-06T14:44:24Z<p>Admin eda: </p>
<hr />
<div><noinclude><br />
== Usage ==<br />
Copy following code into any wiki page. It simply pushes the Table of Content (TOC) to the right of the page and makes it floating.<br />
<br />
<pre><nowiki>{{TOC_right}} </nowiki> </pre><br />
<br />
</noinclude><br />
<br />
{| cellspacing="0" cellpadding="0" style="clear: {{{clear|right}}}; margin-bottom: .5em; float: right; padding: .5em 0 .8em 1.4em; background: none; width: {{{width|{{{1|auto}}}}}};" {{#if:{{{limit|}}}|class="toclimit-{{{limit}}}"}}<br />
| __TOC__<br />
|}</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Template:PrivateTemplate:Private2012-06-06T14:43:46Z<p>Admin eda: </p>
<hr />
<div><noinclude><br />
== Usage ==<br />
It allows to keep a page private and only accessible by a registered Novell user. Copy following code into any wiki page<br />
<pre><nowiki>{{private}}</nowiki> </pre><br />
</noinclude><br />
<accesscontrol>Administrators,,wikieda</accesscontrol></div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Template:TOC_rightTemplate:TOC right2012-06-06T14:43:24Z<p>Admin eda: </p>
<hr />
<div><noinclude><br />
== Usage ==<br />
Copy following code into any wiki page. It simply pushes the Table of Content (TOC) to the right of the page and makes it floating.<br />
<br />
<pre><nowiki>{{TOC_right}} </nowiki> </pre><br />
<br />
</noinclude><br />
<br />
{| cellspacing="0" cellpadding="0" style="clear: {{{clear|right}}}; margin-bottom: .5em; float: right; padding: .5em 0 .8em 1.4em; background: none; width: {{{width|{{{1|auto}}}}}};" {{#if:{{{limit|}}}|class="toclimit-{{{limit}}}"}}<br />
| __TOC__<br />
|}<noinclude><br />
{{documentation}}<br />
<!-- Add cats and interwikis to the /doc subpage, not here! --><br />
position: fixed;top: 100px;right: 0;<br />
</noinclude></div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Template:TOC_rightTemplate:TOC right2012-06-06T14:42:00Z<p>Admin eda: </p>
<hr />
<div><noinclude><br />
== Usage ==<br />
Copy following code into any wiki page. It simply pushes the Table of Content (TOC) to the right of the page and makes it floating.<br />
<br />
<pre><nowiki>{{TOC_right}} </nowiki> </pre><br />
<br />
</noinclude><br />
{| cellspacing="0" cellpadding="0" style="clear: {{{clear|right}}}; margin-bottom: .5em; float: right; padding: .5em 0 .8em 1.4em; background: none; width: {{{width|{{{1|auto}}}}}};" {{#if:{{{limit|}}}|class="toclimit-{{{limit}}}"}}<br />
| __TOC__|}<br />
<br />
<noinclude><br />
{{documentation}}<br />
<!-- Add cats and interwikis to the /doc subpage, not here! --><br />
position: fixed;top: 100px;right: 0;<br />
</noinclude></div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Template:TOC_rightTemplate:TOC right2012-06-06T14:41:36Z<p>Admin eda: </p>
<hr />
<div><noinclude><br />
== Usage ==<br />
Copy following code into any wiki page. It simply pushes the Table of Content (TOC) to the right of the page and makes it floating.<br />
<br />
<pre><nowiki>{{TOC_right}} </nowiki> </pre><br />
<br />
</noinclude><br />
{| cellspacing="0" cellpadding="0" style="clear: {{{clear|right}}}; margin-bottom: .5em; float: right; padding: .5em 0 .8em 1.4em; background: none; width: {{{width|{{{1|auto}}}}}};" {{#if:{{{limit|}}}|class="toclimit-{{{limit}}}"}}<br />
| __TOC__|}<br />
<noinclude><br />
{{documentation}}<br />
<!-- Add cats and interwikis to the /doc subpage, not here! --><br />
position: fixed;top: 100px;right: 0;<br />
</noinclude></div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Template:TOC_rightTemplate:TOC right2012-06-06T14:41:06Z<p>Admin eda: </p>
<hr />
<div><noinclude><br />
== Usage ==<br />
Copy following code into any wiki page. It simply pushes the Table of Content (TOC) to the right of the page and makes it floating.<br />
<br />
<pre><nowiki>{{TOC_right}} </nowiki> </pre><br />
<br />
</noinclude><br />
{| cellspacing="0" cellpadding="0" style="clear: {{{clear|right}}}; margin-bottom: .5em; float: right; padding: .5em 0 .8em 1.4em; background: none; width: {{{width|{{{1|auto}}}}}};" {{#if:{{{limit|}}}|class="toclimit-{{{limit}}}"}}<br />
| __TOC__|}<noinclude><br />
{{documentation}}<br />
<!-- Add cats and interwikis to the /doc subpage, not here! --><br />
position: fixed;top: 100px;right: 0;<br />
</noinclude></div>Admin edahttps://wiki.hevs.ch/uit/index.php5/MediaWiki:SidebarMediaWiki:Sidebar2012-06-06T11:04:33Z<p>Admin eda: </p>
<hr />
<div><br />
* Navigation<br />
** mainpage|Mainpage<br />
*** tools|Tools<br />
*** hardware|Hardware<br />
*** languages|Languages <br />
*** components|Components<br />
*** standards|Standards<br />
*** projects|Projects <br />
*** links|Links <br />
*** articles|Articles<br />
*** helppage|help<br />
<br />
<br />
* Browse<br />
** Special:Categories|Categories<br />
** Special:AllPages|All Pages<br />
** recentchanges-url|recentchanges<br />
<!-- This is a comment** randompage-url|randompage --><br />
<br />
* SEARCH<br />
* TOOLBOX<br />
* LANGUAGES</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Help:GuidelinesHelp:Guidelines2012-06-06T11:02:36Z<p>Admin eda: </p>
<hr />
<div>{{TOC right}}<br />
= Navigation =<br />
* Each page needs to be in one of the Main Subjects on the left<br />
** [[Tools]] - How-to's for installing, configuration & use programms<br />
** [[Hardware]] - Information and and whereabout of Hardware<br />
** [[Languages]] - How-to's, tips & Tticks about programming languages<br />
** [[Components]] - Links and description about finished libraries, IP-cores and modules<br />
** [[Standards]] - Standards explained<br />
** [[Projects]] - List and links of actual and old projects<br />
** [[Links]] - Useful internet links<br />
** [[Articles]] - Published articles<br />
<br />
= Page Names =<br />
Each pagename need to be the path of the location within the navigation structure. For example the page for the Eclipse plugins SimplifIDE is situated in:<br />
<br />
Tools/Eclipse/SimplifIDE therefore its pagesname would be [[Tools/Eclipse/SimplifIDE]].<br />
<br />
= Categories =<br />
Each pages need to be in at least one Categorie, if it fits to more Categories then multiple Categories can be defined. For help about defining categories see [[Help:Syntax#Categories|here]]. A list of all Categories can be found [[Special:Categories|here]]. If a Categorie is missing please feel free to create a new one.<br />
<br />
= Creation =<br />
Each page can be created, but before pressing the button "Save page" do and "Show preview" and reread what you have written.<br />
<br />
= Maintainer =<br />
The Maintainer and Supervisor of this Wiki is [[User:zas|Zahno Silvan]]. In case of any question wiki related, feel free to contact me.<br />
<br />
[[Category:Help]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Help:ExtentionsHelp:Extentions2012-06-06T11:02:13Z<p>Admin eda: /* Article Comment */</p>
<hr />
<div>{{TOC right}}<br />
== Math ==<br />
To write a math formula in LaTeX, click on the math button at the top of the article edition, or write your formula beetween <nowiki> <math> and </math> </nowiki> tags.<br />
<pre><br />
<math><br />
\operatorname{erfc}(x) =<br />
\frac{2}{\sqrt{\pi}} \int_x^{\infty} e^{-t^2}\,dt =<br />
\frac{e^{-x^2}}{x\sqrt{\pi}}\sum_{n=0}^\infty (-1)^n \frac{(2n)!}{n!(2x)^{2n}}<br />
</math><br />
</pre><br />
<br />
<math><br />
\operatorname{erfc}(x) =<br />
\frac{2}{\sqrt{\pi}} \int_x^{\infty} e^{-t^2}\,dt =<br />
\frac{e^{-x^2}}{x\sqrt{\pi}}\sum_{n=0}^\infty (-1)^n \frac{(2n)!}{n!(2x)^{2n}}<br />
</math><br />
<br />
== Syntax Highlighting Geshi ==<br />
<br />
To highlight a code in any language, click on the SyntaxHighlighting button at the top of the article, write your code beetween the <nowiki><source> </source></nowiki> tags and select a language entering the language name in the lang parameter in the tag <nowiki><source lang="VHDL"> </source></nowiki><br />
<pre><br />
<source lang="VHDL"><br />
-- This is a VHDL syntax highlight example<br />
-- import std_logic from the IEEE library<br />
library IEEE;<br />
use IEEE.std_logic_1164.all;<br />
<br />
-- this is the entity<br />
entity ANDGATE is<br />
port ( <br />
I1 : in std_logic;<br />
I2 : in std_logic;<br />
O : out std_logic);<br />
end entity ANDGATE;<br />
<br />
architecture RTL of ANDGATE is<br />
begin<br />
O <= I1 and I2;<br />
end architecture RTL;<br />
</source><br />
</pre><br />
<source lang="VHDL"><br />
-- This is a VHDL syntax highlight example<br />
-- import std_logic from the IEEE library<br />
library IEEE;<br />
use IEEE.std_logic_1164.all;<br />
<br />
-- this is the entity<br />
entity ANDGATE is<br />
port ( <br />
I1 : in std_logic;<br />
I2 : in std_logic;<br />
O : out std_logic);<br />
end entity ANDGATE;<br />
<br />
architecture RTL of ANDGATE is<br />
begin<br />
O <= I1 and I2;<br />
end architecture RTL;<br />
</source><br />
'''List of avalaible language :''' ABAP, Actionscript, ADA, Apache Log, AppleScript, APT sources.list, ASM (m68k), ASM (pic16), ASM (x86), ASM (z80), ASP, AutoIT, Backus-Naur form, Bash, Basic4GL, BlitzBasic, Brainfuck, C, C for Macs, C#, C++, C++ (with QT), CAD DCL, CadLisp, CFDG, CIL / MSIL, COBOL, ColdFusion, CSS, D, Delphi, Diff File Format, DIV, DOS, DOT language, Eiffel, Fortran, FourJ's Genero, FreeBasic, GetText, glSlang, GML, gnuplot, Groovy, Haskell, HQ9+, HTML, INI (Config Files), Inno, INTERCAL, IO, Java, Java 5, Javascript, KiXtart, KLone C & C++, LaTeX, Lisp, LOLcode, LotusScript, LScript, Lua, Make, mIRC, MXML, MySQL, NSIS, Objective C, OCaml, OpenOffice BASIC, Oracle 8 & 11 SQL, Pascal, Perl, PHP, Pixel Bender, PL/SQL, POV-Ray, PowerShell, Progress (OpenEdge ABL), Prolog, ProvideX, Python, Q(uick)BASIC, robots.txt, Ruby, Ruby on Rails, SAS, Scala, Scheme, Scilab, SDLBasic, Smalltalk, Smarty, SQL, T-SQL, TCL, thinBasic, TypoScript, Uno IDL, VB.NET, Verilog, VHDL, VIM Script, Visual BASIC, Visual Fox Pro, Visual Prolog, Whitespace, Winbatch, Windows Registry Files, X++, XML, Xorg.conf<br />
<br />
== Subpagelist ==<br />
the Subpagelist extentions allows to structure the Wiki pages with the help of pagename/subpagename/sbusubpagename, to create a hierarchical structure.<br />
The Tag '''splist''' allows to display all subpages.<br />
<br />
Example with use of all available attributes.<br />
<pre><br />
<splist<br />
parent=<br />
showparent=yes<br />
sort=desc<br />
sortby=title<br />
liststyle=ordered<br />
showpath=no<br />
kidsonly=no<br />
debug=0<br />
/><br />
</pre><br />
<br />
* '''Liststyle''': default is ''unordered''; values are ''ordered|unordered|bar''. Toggles list between <nowiki><ol></nowiki> and <nowiki><ul></nowiki> lists. The ''bar'' value causes the list to align horizontally with <nowiki>&middot;</nowiki> symbols acting as delimiters (for bar lists rather than tree lists).<br />
* '''Sort''': default is ''asc''; values are ''asc|desc''. Toggles list between ascending and descending sort order. By default, the tag sorts subpages in ascending alphabetical order; all sorting, however, is subject to hierarchical position - i.e. pages will always be subordinated to their parents; sorting is only among siblings.<br />
* '''Sortby''': default is ''title''; values are ''title|lastedit''. Toggles list sort determinant between title and date of the last edit.<br />
* '''Showpath''': default is ''no''; values are ''no|notparent|full''. Determines how the title of each page is displayed in the list. "No" means that only the subpage title (i.e. the bit after the last slash) is displayed. "Notparent" shows the full path without the top level. "Full" shows all levels in the page title name including the top level (e.g. "my page/my subpage/my subpage2").<br />
* '''Kidsonly''': default is ''no''; values are ''yes|no''. If this is set to "yes", then only the first generation of subpages (i.e. the children but not the grandchildren) is displayed. This is especially useful if the ''liststyle'' is set to ''bar''. It's also good if you just want a list rather than a tree.<br />
* '''Parent''': by default the tag analyses the subpage system of its own page. However you can set the tag to analyse and display the subpage system of any other page on the wiki. Use local name format. E.g. "parent=Template:FOO" (with a namespace) or "parent=My Page" (in the main namespace). A common source of error is typing the page name incorrectly. The extension checks whether or not the page you name actually exists, and will report an error if it doesn't.<br />
* '''Showparent''': default is ''no''; values are ''yes|no''. If this is set to "yes", then the page indicated by ''parent'' (above) will be inserted as the top level item in the list. If the list is a bulletted list, then this top level item will have a bullet and the rest of the list will be indented one level further than it would be otherwise.<br />
* '''Debug''': you can switch debugging on by setting this option to 1; the debugging goes no further than reporting invalid input for the other options.<br />
<br />
=== Examples ===<br />
<pre><br />
<splist parent=Tools/><br />
</pre><br />
<splist parent=Tools /><br />
<br />
== IssueTracker ==<br />
<br />
The ''IssueTracker'' extension provides a custom tag, <nowiki> <issues /> </nowiki>, that allows the inclusion of an issue tracker in any wiki page. It's possible to customize almost every aspect of the extension, such as permissions, user groups, colours, titles, and templates. It supports searching and several types of filtering. <br />
<br />
To embed an issue tracker into a page, use the <nowiki> <issues /> </nowiki>tag:<br />
<pre><issues /></pre><br />
<br />
If a project name is not provided, the extension will use the page namespace as the default project name. You can create multiple issue trackers by providing different project names, for example:<br />
<br />
<pre><issues project="My Project" /></pre><br />
<br />
To hide the filter and search forms you can set the search and filter options to false:<br />
<br />
<pre><issues project="My Project" search="false" filter="false" /></pre><br />
<br />
By default, users must be logged in to add, edit or achieve issues. If you want to allow anonymous issue management, set the authenticate option to false:<br />
<br />
<pre><issues project="My Project" authenticate="false" /></pre><br />
<br />
====Options====<br />
<br />
* '''project''' = String : The project name (default: page namespace).<br />
* '''authenticate''' = Boolean : User authentication (default: true).<br />
* '''search''' = Boolean : Display search form (default: true).<br />
* '''filter''' = Boolean : Display filter form (default: true).<br />
<br />
== File & Picture, Upload & Download ==<br />
To upload a file click on the [[Special:Upload|Upload File]] button that is located on the left panel. Your file must be smaller than 2MB and the extension must be authorised.<br />
<br />
For the moment the authorized extentions are: png, gif, jpg, jpeg<br />
<br />
== RSS Feed ==<br />
=== Display RSS Feeds ===<br />
Use one section between {{tag|rss|o}}-tags for each feed. The rss element may contain parameters if you provide them as attributes to the rss element:<br />
{| class="wikitable"<br />
|+ Parameters in the RSS tag<br />
|-<br />
! parameter (optional)<br />
! comment<br />
|-<br />
| <code>template="''name''"</code><br />
| 'name' (default: rss-item) is the name of a page in the MediaWiki template namespace (default: [[MediaWiki:Rss-item]]), which is a template which comprises the name of another template (default: [[Template:RSSPost]]) and a list of named variables of RSS Feed channel subelements (item), these values then being passed to this other template to finally format the RSS items<br />
|-<br />
| <code>templatename="''Pagename''"</code> or<br/><br />
<code>templatename="''Namespace:Pagename''"</code><br/><br />
| 'Pagename' is the name of a template page which is used in the default template [[MediaWiki:Rss-item]] (defining the channel subelements) to finally format the RSS items; the template can be in the template namespace (first example denotes a page [[Template:Pagename]]) or any other namespace (second example uses the full specified form [[Namespace:Pagename]])<br />
|-<br />
| <code>max="''n''"</code><br />
| show at most <code>''n''</code> channel subelements (items)<br />
|-<br />
| <code>highlight="''term1 term2 ...''"</code><br />
| highlight terms in different colours<br />
|-<br />
| <code>filter="''term1 term2 ...''"</code><br />
| show only RSS items with at least one of the <code>''term''</code>s<br />
|-<br />
| <code>filterout="''term1 term2 ...''"</code><br />
| do not show any RSS item containing any of these terms<br />
|-<br />
| <code>reverse</code><br />
| display the RSS items in reverse order<br />
|-<br />
|}<br />
<br />
This extension supports '''filtering-out''' (i.e. <code>grep -v</code>) items based on title when in short list mode.<br />
<br />
<br />
==== Example ====<br />
<pre><rss max=5 highlight="foundation public donation research community">http://blog.wikimedia.org/feed/</rss></pre><br />
<rss max=5 highlight="foundation public donation research community">http://blog.wikimedia.org/feed/</rss><br />
<br />
=== Create RSS Feed ===<br />
It is possible to create RSS Feed's of pages <br />
<br />
General Syntax:<br />
http://wiki.hevs.ch/eda/index.php?title=page_name&feed=rss<br />
<br />
Replace the parameters with your terms<br />
* page_name : name of the page<br />
<br />
==== RSS Feed of Recent Changes ====<br />
http://wiki.hevs.ch/eda/index.php?title=Special:RecentChanges&feed=rss<br />
<br />
== Article Comment ==<br />
To allow comments in a page, at the end of the article,click on the comment button at the top of the article edition or write "<nowiki><comments ></nowiki>" at the end of the article<br />
<pre> <comments > </pre><br />
<comments ><br />
<br />
<br />
<br />
<br />
<br />
[[Category:Help]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Help:ExtentionsHelp:Extentions2012-06-06T11:02:02Z<p>Admin eda: /* Article Comment */</p>
<hr />
<div>{{TOC right}}<br />
== Math ==<br />
To write a math formula in LaTeX, click on the math button at the top of the article edition, or write your formula beetween <nowiki> <math> and </math> </nowiki> tags.<br />
<pre><br />
<math><br />
\operatorname{erfc}(x) =<br />
\frac{2}{\sqrt{\pi}} \int_x^{\infty} e^{-t^2}\,dt =<br />
\frac{e^{-x^2}}{x\sqrt{\pi}}\sum_{n=0}^\infty (-1)^n \frac{(2n)!}{n!(2x)^{2n}}<br />
</math><br />
</pre><br />
<br />
<math><br />
\operatorname{erfc}(x) =<br />
\frac{2}{\sqrt{\pi}} \int_x^{\infty} e^{-t^2}\,dt =<br />
\frac{e^{-x^2}}{x\sqrt{\pi}}\sum_{n=0}^\infty (-1)^n \frac{(2n)!}{n!(2x)^{2n}}<br />
</math><br />
<br />
== Syntax Highlighting Geshi ==<br />
<br />
To highlight a code in any language, click on the SyntaxHighlighting button at the top of the article, write your code beetween the <nowiki><source> </source></nowiki> tags and select a language entering the language name in the lang parameter in the tag <nowiki><source lang="VHDL"> </source></nowiki><br />
<pre><br />
<source lang="VHDL"><br />
-- This is a VHDL syntax highlight example<br />
-- import std_logic from the IEEE library<br />
library IEEE;<br />
use IEEE.std_logic_1164.all;<br />
<br />
-- this is the entity<br />
entity ANDGATE is<br />
port ( <br />
I1 : in std_logic;<br />
I2 : in std_logic;<br />
O : out std_logic);<br />
end entity ANDGATE;<br />
<br />
architecture RTL of ANDGATE is<br />
begin<br />
O <= I1 and I2;<br />
end architecture RTL;<br />
</source><br />
</pre><br />
<source lang="VHDL"><br />
-- This is a VHDL syntax highlight example<br />
-- import std_logic from the IEEE library<br />
library IEEE;<br />
use IEEE.std_logic_1164.all;<br />
<br />
-- this is the entity<br />
entity ANDGATE is<br />
port ( <br />
I1 : in std_logic;<br />
I2 : in std_logic;<br />
O : out std_logic);<br />
end entity ANDGATE;<br />
<br />
architecture RTL of ANDGATE is<br />
begin<br />
O <= I1 and I2;<br />
end architecture RTL;<br />
</source><br />
'''List of avalaible language :''' ABAP, Actionscript, ADA, Apache Log, AppleScript, APT sources.list, ASM (m68k), ASM (pic16), ASM (x86), ASM (z80), ASP, AutoIT, Backus-Naur form, Bash, Basic4GL, BlitzBasic, Brainfuck, C, C for Macs, C#, C++, C++ (with QT), CAD DCL, CadLisp, CFDG, CIL / MSIL, COBOL, ColdFusion, CSS, D, Delphi, Diff File Format, DIV, DOS, DOT language, Eiffel, Fortran, FourJ's Genero, FreeBasic, GetText, glSlang, GML, gnuplot, Groovy, Haskell, HQ9+, HTML, INI (Config Files), Inno, INTERCAL, IO, Java, Java 5, Javascript, KiXtart, KLone C & C++, LaTeX, Lisp, LOLcode, LotusScript, LScript, Lua, Make, mIRC, MXML, MySQL, NSIS, Objective C, OCaml, OpenOffice BASIC, Oracle 8 & 11 SQL, Pascal, Perl, PHP, Pixel Bender, PL/SQL, POV-Ray, PowerShell, Progress (OpenEdge ABL), Prolog, ProvideX, Python, Q(uick)BASIC, robots.txt, Ruby, Ruby on Rails, SAS, Scala, Scheme, Scilab, SDLBasic, Smalltalk, Smarty, SQL, T-SQL, TCL, thinBasic, TypoScript, Uno IDL, VB.NET, Verilog, VHDL, VIM Script, Visual BASIC, Visual Fox Pro, Visual Prolog, Whitespace, Winbatch, Windows Registry Files, X++, XML, Xorg.conf<br />
<br />
== Subpagelist ==<br />
the Subpagelist extentions allows to structure the Wiki pages with the help of pagename/subpagename/sbusubpagename, to create a hierarchical structure.<br />
The Tag '''splist''' allows to display all subpages.<br />
<br />
Example with use of all available attributes.<br />
<pre><br />
<splist<br />
parent=<br />
showparent=yes<br />
sort=desc<br />
sortby=title<br />
liststyle=ordered<br />
showpath=no<br />
kidsonly=no<br />
debug=0<br />
/><br />
</pre><br />
<br />
* '''Liststyle''': default is ''unordered''; values are ''ordered|unordered|bar''. Toggles list between <nowiki><ol></nowiki> and <nowiki><ul></nowiki> lists. The ''bar'' value causes the list to align horizontally with <nowiki>&middot;</nowiki> symbols acting as delimiters (for bar lists rather than tree lists).<br />
* '''Sort''': default is ''asc''; values are ''asc|desc''. Toggles list between ascending and descending sort order. By default, the tag sorts subpages in ascending alphabetical order; all sorting, however, is subject to hierarchical position - i.e. pages will always be subordinated to their parents; sorting is only among siblings.<br />
* '''Sortby''': default is ''title''; values are ''title|lastedit''. Toggles list sort determinant between title and date of the last edit.<br />
* '''Showpath''': default is ''no''; values are ''no|notparent|full''. Determines how the title of each page is displayed in the list. "No" means that only the subpage title (i.e. the bit after the last slash) is displayed. "Notparent" shows the full path without the top level. "Full" shows all levels in the page title name including the top level (e.g. "my page/my subpage/my subpage2").<br />
* '''Kidsonly''': default is ''no''; values are ''yes|no''. If this is set to "yes", then only the first generation of subpages (i.e. the children but not the grandchildren) is displayed. This is especially useful if the ''liststyle'' is set to ''bar''. It's also good if you just want a list rather than a tree.<br />
* '''Parent''': by default the tag analyses the subpage system of its own page. However you can set the tag to analyse and display the subpage system of any other page on the wiki. Use local name format. E.g. "parent=Template:FOO" (with a namespace) or "parent=My Page" (in the main namespace). A common source of error is typing the page name incorrectly. The extension checks whether or not the page you name actually exists, and will report an error if it doesn't.<br />
* '''Showparent''': default is ''no''; values are ''yes|no''. If this is set to "yes", then the page indicated by ''parent'' (above) will be inserted as the top level item in the list. If the list is a bulletted list, then this top level item will have a bullet and the rest of the list will be indented one level further than it would be otherwise.<br />
* '''Debug''': you can switch debugging on by setting this option to 1; the debugging goes no further than reporting invalid input for the other options.<br />
<br />
=== Examples ===<br />
<pre><br />
<splist parent=Tools/><br />
</pre><br />
<splist parent=Tools /><br />
<br />
== IssueTracker ==<br />
<br />
The ''IssueTracker'' extension provides a custom tag, <nowiki> <issues /> </nowiki>, that allows the inclusion of an issue tracker in any wiki page. It's possible to customize almost every aspect of the extension, such as permissions, user groups, colours, titles, and templates. It supports searching and several types of filtering. <br />
<br />
To embed an issue tracker into a page, use the <nowiki> <issues /> </nowiki>tag:<br />
<pre><issues /></pre><br />
<br />
If a project name is not provided, the extension will use the page namespace as the default project name. You can create multiple issue trackers by providing different project names, for example:<br />
<br />
<pre><issues project="My Project" /></pre><br />
<br />
To hide the filter and search forms you can set the search and filter options to false:<br />
<br />
<pre><issues project="My Project" search="false" filter="false" /></pre><br />
<br />
By default, users must be logged in to add, edit or achieve issues. If you want to allow anonymous issue management, set the authenticate option to false:<br />
<br />
<pre><issues project="My Project" authenticate="false" /></pre><br />
<br />
====Options====<br />
<br />
* '''project''' = String : The project name (default: page namespace).<br />
* '''authenticate''' = Boolean : User authentication (default: true).<br />
* '''search''' = Boolean : Display search form (default: true).<br />
* '''filter''' = Boolean : Display filter form (default: true).<br />
<br />
== File & Picture, Upload & Download ==<br />
To upload a file click on the [[Special:Upload|Upload File]] button that is located on the left panel. Your file must be smaller than 2MB and the extension must be authorised.<br />
<br />
For the moment the authorized extentions are: png, gif, jpg, jpeg<br />
<br />
== RSS Feed ==<br />
=== Display RSS Feeds ===<br />
Use one section between {{tag|rss|o}}-tags for each feed. The rss element may contain parameters if you provide them as attributes to the rss element:<br />
{| class="wikitable"<br />
|+ Parameters in the RSS tag<br />
|-<br />
! parameter (optional)<br />
! comment<br />
|-<br />
| <code>template="''name''"</code><br />
| 'name' (default: rss-item) is the name of a page in the MediaWiki template namespace (default: [[MediaWiki:Rss-item]]), which is a template which comprises the name of another template (default: [[Template:RSSPost]]) and a list of named variables of RSS Feed channel subelements (item), these values then being passed to this other template to finally format the RSS items<br />
|-<br />
| <code>templatename="''Pagename''"</code> or<br/><br />
<code>templatename="''Namespace:Pagename''"</code><br/><br />
| 'Pagename' is the name of a template page which is used in the default template [[MediaWiki:Rss-item]] (defining the channel subelements) to finally format the RSS items; the template can be in the template namespace (first example denotes a page [[Template:Pagename]]) or any other namespace (second example uses the full specified form [[Namespace:Pagename]])<br />
|-<br />
| <code>max="''n''"</code><br />
| show at most <code>''n''</code> channel subelements (items)<br />
|-<br />
| <code>highlight="''term1 term2 ...''"</code><br />
| highlight terms in different colours<br />
|-<br />
| <code>filter="''term1 term2 ...''"</code><br />
| show only RSS items with at least one of the <code>''term''</code>s<br />
|-<br />
| <code>filterout="''term1 term2 ...''"</code><br />
| do not show any RSS item containing any of these terms<br />
|-<br />
| <code>reverse</code><br />
| display the RSS items in reverse order<br />
|-<br />
|}<br />
<br />
This extension supports '''filtering-out''' (i.e. <code>grep -v</code>) items based on title when in short list mode.<br />
<br />
<br />
==== Example ====<br />
<pre><rss max=5 highlight="foundation public donation research community">http://blog.wikimedia.org/feed/</rss></pre><br />
<rss max=5 highlight="foundation public donation research community">http://blog.wikimedia.org/feed/</rss><br />
<br />
=== Create RSS Feed ===<br />
It is possible to create RSS Feed's of pages <br />
<br />
General Syntax:<br />
http://wiki.hevs.ch/eda/index.php?title=page_name&feed=rss<br />
<br />
Replace the parameters with your terms<br />
* page_name : name of the page<br />
<br />
==== RSS Feed of Recent Changes ====<br />
http://wiki.hevs.ch/eda/index.php?title=Special:RecentChanges&feed=rss<br />
<br />
== Article Comment ==<br />
To allow comments in a page, at the end of the article,click on the comment button at the top of the article edition or write "<nowiki><comments ></nowiki>" at the end of the article<br />
<pre> <comments > </pre><br />
<comments ><br />
<br />
<br />
[[Category:Help]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Help:ExtentionsHelp:Extentions2012-06-06T11:01:45Z<p>Admin eda: /* Article Comment */</p>
<hr />
<div>{{TOC right}}<br />
== Math ==<br />
To write a math formula in LaTeX, click on the math button at the top of the article edition, or write your formula beetween <nowiki> <math> and </math> </nowiki> tags.<br />
<pre><br />
<math><br />
\operatorname{erfc}(x) =<br />
\frac{2}{\sqrt{\pi}} \int_x^{\infty} e^{-t^2}\,dt =<br />
\frac{e^{-x^2}}{x\sqrt{\pi}}\sum_{n=0}^\infty (-1)^n \frac{(2n)!}{n!(2x)^{2n}}<br />
</math><br />
</pre><br />
<br />
<math><br />
\operatorname{erfc}(x) =<br />
\frac{2}{\sqrt{\pi}} \int_x^{\infty} e^{-t^2}\,dt =<br />
\frac{e^{-x^2}}{x\sqrt{\pi}}\sum_{n=0}^\infty (-1)^n \frac{(2n)!}{n!(2x)^{2n}}<br />
</math><br />
<br />
== Syntax Highlighting Geshi ==<br />
<br />
To highlight a code in any language, click on the SyntaxHighlighting button at the top of the article, write your code beetween the <nowiki><source> </source></nowiki> tags and select a language entering the language name in the lang parameter in the tag <nowiki><source lang="VHDL"> </source></nowiki><br />
<pre><br />
<source lang="VHDL"><br />
-- This is a VHDL syntax highlight example<br />
-- import std_logic from the IEEE library<br />
library IEEE;<br />
use IEEE.std_logic_1164.all;<br />
<br />
-- this is the entity<br />
entity ANDGATE is<br />
port ( <br />
I1 : in std_logic;<br />
I2 : in std_logic;<br />
O : out std_logic);<br />
end entity ANDGATE;<br />
<br />
architecture RTL of ANDGATE is<br />
begin<br />
O <= I1 and I2;<br />
end architecture RTL;<br />
</source><br />
</pre><br />
<source lang="VHDL"><br />
-- This is a VHDL syntax highlight example<br />
-- import std_logic from the IEEE library<br />
library IEEE;<br />
use IEEE.std_logic_1164.all;<br />
<br />
-- this is the entity<br />
entity ANDGATE is<br />
port ( <br />
I1 : in std_logic;<br />
I2 : in std_logic;<br />
O : out std_logic);<br />
end entity ANDGATE;<br />
<br />
architecture RTL of ANDGATE is<br />
begin<br />
O <= I1 and I2;<br />
end architecture RTL;<br />
</source><br />
'''List of avalaible language :''' ABAP, Actionscript, ADA, Apache Log, AppleScript, APT sources.list, ASM (m68k), ASM (pic16), ASM (x86), ASM (z80), ASP, AutoIT, Backus-Naur form, Bash, Basic4GL, BlitzBasic, Brainfuck, C, C for Macs, C#, C++, C++ (with QT), CAD DCL, CadLisp, CFDG, CIL / MSIL, COBOL, ColdFusion, CSS, D, Delphi, Diff File Format, DIV, DOS, DOT language, Eiffel, Fortran, FourJ's Genero, FreeBasic, GetText, glSlang, GML, gnuplot, Groovy, Haskell, HQ9+, HTML, INI (Config Files), Inno, INTERCAL, IO, Java, Java 5, Javascript, KiXtart, KLone C & C++, LaTeX, Lisp, LOLcode, LotusScript, LScript, Lua, Make, mIRC, MXML, MySQL, NSIS, Objective C, OCaml, OpenOffice BASIC, Oracle 8 & 11 SQL, Pascal, Perl, PHP, Pixel Bender, PL/SQL, POV-Ray, PowerShell, Progress (OpenEdge ABL), Prolog, ProvideX, Python, Q(uick)BASIC, robots.txt, Ruby, Ruby on Rails, SAS, Scala, Scheme, Scilab, SDLBasic, Smalltalk, Smarty, SQL, T-SQL, TCL, thinBasic, TypoScript, Uno IDL, VB.NET, Verilog, VHDL, VIM Script, Visual BASIC, Visual Fox Pro, Visual Prolog, Whitespace, Winbatch, Windows Registry Files, X++, XML, Xorg.conf<br />
<br />
== Subpagelist ==<br />
the Subpagelist extentions allows to structure the Wiki pages with the help of pagename/subpagename/sbusubpagename, to create a hierarchical structure.<br />
The Tag '''splist''' allows to display all subpages.<br />
<br />
Example with use of all available attributes.<br />
<pre><br />
<splist<br />
parent=<br />
showparent=yes<br />
sort=desc<br />
sortby=title<br />
liststyle=ordered<br />
showpath=no<br />
kidsonly=no<br />
debug=0<br />
/><br />
</pre><br />
<br />
* '''Liststyle''': default is ''unordered''; values are ''ordered|unordered|bar''. Toggles list between <nowiki><ol></nowiki> and <nowiki><ul></nowiki> lists. The ''bar'' value causes the list to align horizontally with <nowiki>&middot;</nowiki> symbols acting as delimiters (for bar lists rather than tree lists).<br />
* '''Sort''': default is ''asc''; values are ''asc|desc''. Toggles list between ascending and descending sort order. By default, the tag sorts subpages in ascending alphabetical order; all sorting, however, is subject to hierarchical position - i.e. pages will always be subordinated to their parents; sorting is only among siblings.<br />
* '''Sortby''': default is ''title''; values are ''title|lastedit''. Toggles list sort determinant between title and date of the last edit.<br />
* '''Showpath''': default is ''no''; values are ''no|notparent|full''. Determines how the title of each page is displayed in the list. "No" means that only the subpage title (i.e. the bit after the last slash) is displayed. "Notparent" shows the full path without the top level. "Full" shows all levels in the page title name including the top level (e.g. "my page/my subpage/my subpage2").<br />
* '''Kidsonly''': default is ''no''; values are ''yes|no''. If this is set to "yes", then only the first generation of subpages (i.e. the children but not the grandchildren) is displayed. This is especially useful if the ''liststyle'' is set to ''bar''. It's also good if you just want a list rather than a tree.<br />
* '''Parent''': by default the tag analyses the subpage system of its own page. However you can set the tag to analyse and display the subpage system of any other page on the wiki. Use local name format. E.g. "parent=Template:FOO" (with a namespace) or "parent=My Page" (in the main namespace). A common source of error is typing the page name incorrectly. The extension checks whether or not the page you name actually exists, and will report an error if it doesn't.<br />
* '''Showparent''': default is ''no''; values are ''yes|no''. If this is set to "yes", then the page indicated by ''parent'' (above) will be inserted as the top level item in the list. If the list is a bulletted list, then this top level item will have a bullet and the rest of the list will be indented one level further than it would be otherwise.<br />
* '''Debug''': you can switch debugging on by setting this option to 1; the debugging goes no further than reporting invalid input for the other options.<br />
<br />
=== Examples ===<br />
<pre><br />
<splist parent=Tools/><br />
</pre><br />
<splist parent=Tools /><br />
<br />
== IssueTracker ==<br />
<br />
The ''IssueTracker'' extension provides a custom tag, <nowiki> <issues /> </nowiki>, that allows the inclusion of an issue tracker in any wiki page. It's possible to customize almost every aspect of the extension, such as permissions, user groups, colours, titles, and templates. It supports searching and several types of filtering. <br />
<br />
To embed an issue tracker into a page, use the <nowiki> <issues /> </nowiki>tag:<br />
<pre><issues /></pre><br />
<br />
If a project name is not provided, the extension will use the page namespace as the default project name. You can create multiple issue trackers by providing different project names, for example:<br />
<br />
<pre><issues project="My Project" /></pre><br />
<br />
To hide the filter and search forms you can set the search and filter options to false:<br />
<br />
<pre><issues project="My Project" search="false" filter="false" /></pre><br />
<br />
By default, users must be logged in to add, edit or achieve issues. If you want to allow anonymous issue management, set the authenticate option to false:<br />
<br />
<pre><issues project="My Project" authenticate="false" /></pre><br />
<br />
====Options====<br />
<br />
* '''project''' = String : The project name (default: page namespace).<br />
* '''authenticate''' = Boolean : User authentication (default: true).<br />
* '''search''' = Boolean : Display search form (default: true).<br />
* '''filter''' = Boolean : Display filter form (default: true).<br />
<br />
== File & Picture, Upload & Download ==<br />
To upload a file click on the [[Special:Upload|Upload File]] button that is located on the left panel. Your file must be smaller than 2MB and the extension must be authorised.<br />
<br />
For the moment the authorized extentions are: png, gif, jpg, jpeg<br />
<br />
== RSS Feed ==<br />
=== Display RSS Feeds ===<br />
Use one section between {{tag|rss|o}}-tags for each feed. The rss element may contain parameters if you provide them as attributes to the rss element:<br />
{| class="wikitable"<br />
|+ Parameters in the RSS tag<br />
|-<br />
! parameter (optional)<br />
! comment<br />
|-<br />
| <code>template="''name''"</code><br />
| 'name' (default: rss-item) is the name of a page in the MediaWiki template namespace (default: [[MediaWiki:Rss-item]]), which is a template which comprises the name of another template (default: [[Template:RSSPost]]) and a list of named variables of RSS Feed channel subelements (item), these values then being passed to this other template to finally format the RSS items<br />
|-<br />
| <code>templatename="''Pagename''"</code> or<br/><br />
<code>templatename="''Namespace:Pagename''"</code><br/><br />
| 'Pagename' is the name of a template page which is used in the default template [[MediaWiki:Rss-item]] (defining the channel subelements) to finally format the RSS items; the template can be in the template namespace (first example denotes a page [[Template:Pagename]]) or any other namespace (second example uses the full specified form [[Namespace:Pagename]])<br />
|-<br />
| <code>max="''n''"</code><br />
| show at most <code>''n''</code> channel subelements (items)<br />
|-<br />
| <code>highlight="''term1 term2 ...''"</code><br />
| highlight terms in different colours<br />
|-<br />
| <code>filter="''term1 term2 ...''"</code><br />
| show only RSS items with at least one of the <code>''term''</code>s<br />
|-<br />
| <code>filterout="''term1 term2 ...''"</code><br />
| do not show any RSS item containing any of these terms<br />
|-<br />
| <code>reverse</code><br />
| display the RSS items in reverse order<br />
|-<br />
|}<br />
<br />
This extension supports '''filtering-out''' (i.e. <code>grep -v</code>) items based on title when in short list mode.<br />
<br />
<br />
==== Example ====<br />
<pre><rss max=5 highlight="foundation public donation research community">http://blog.wikimedia.org/feed/</rss></pre><br />
<rss max=5 highlight="foundation public donation research community">http://blog.wikimedia.org/feed/</rss><br />
<br />
=== Create RSS Feed ===<br />
It is possible to create RSS Feed's of pages <br />
<br />
General Syntax:<br />
http://wiki.hevs.ch/eda/index.php?title=page_name&feed=rss<br />
<br />
Replace the parameters with your terms<br />
* page_name : name of the page<br />
<br />
==== RSS Feed of Recent Changes ====<br />
http://wiki.hevs.ch/eda/index.php?title=Special:RecentChanges&feed=rss<br />
<br />
== Article Comment ==<br />
To allow comments in a page, at the end of the article,click on the comment button at the top of the article edition or write "<nowiki><comments ></nowiki>" at the end of the article<br />
<pre> <comments > </pre><br />
<comments \><br />
<br />
<br />
[[Category:Help]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Help:GuidelinesHelp:Guidelines2012-06-06T10:59:30Z<p>Admin eda: </p>
<hr />
<div>{{TOC right}}<br />
= Navigation =<br />
* Each page needs to be in one of the Main Subjects on the left<br />
** [[Tools]] - How-to's for installing, configuration & use programms<br />
** [[Hardware]] - Information and and whereabout of Hardware<br />
** [[Languages]] - How-to's, tips & Tticks about programming languages<br />
** [[Components]] - Links and description about finished libraries, IP-cores and modules<br />
** [[Standards]] - Standards explained<br />
** [[Projects]] - List and links of actual and old projects<br />
** [[Links]] - Useful internet links<br />
** [[Articles]] - Published articles<br />
<br />
= Page Names =<br />
Each pagename need to be the path of the location within the navigation structure. For example the page for the Eclipse plugins SimplifIDE is situated in:<br />
<br />
Tools/Eclipse/SimplifIDE therefore its pagesname would be [[Tools/Eclipse/SimplifIDE]].<br />
<br />
= Categories =<br />
Each pages need to be in at least one Categorie, if it fits to more Categories then multiple Categories can be defined. For help about defining categories see [[Help:Syntax#Categories|here]]. A list of all Categories can be found [[Special:Categories|here]]. If a Categorie is missing please feel free to create a new one.<br />
<br />
= Creation =<br />
Each page can be created, but before pressing the button "Save page" do and "Show preview" and reread what you have written.<br />
<br />
= Maintainer =<br />
The Maintainer and Supervisor of this Wiki is [[User:zas|Zahno Silvan]]. In case of any question wiki related, feel free to contact me.</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Help:GuidelinesHelp:Guidelines2012-06-06T10:40:53Z<p>Admin eda: Created page with "{{TOC right}} = Navigation = * Each page needs to be in one of the Main Subjects on the left ** Tools ** Hardware ** Languages ** Components ** Standarts ** Projects ** Links..."</p>
<hr />
<div>{{TOC right}}<br />
= Navigation =<br />
* Each page needs to be in one of the Main Subjects on the left<br />
** Tools <br />
** Hardware<br />
** Languages<br />
** Components<br />
** Standarts<br />
** Projects<br />
** Links<br />
** Articles<br />
<br />
<br />
= Page Names =<br />
<br />
= Categories =<br />
<br />
= Maintainer =</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Help:ContentsHelp:Contents2012-06-06T10:37:40Z<p>Admin eda: </p>
<hr />
<div>{{TOC right}}<br />
<br />
'''Need help for creating pages? You've come to the right place.'''<br />
<br />
== HES-SO Wiki Guidelines ==<br />
HES-SO has for this wiki some basic [[Help:Guidelines|Guidelines]] about the following themes:<br />
* [[Help:Guidelines#Page Names|Page Names]]<br />
* [[Help:Guidelines#Categories|Categories]]<br />
* [[Help:Guidelines#Navigation|Navigation]]<br />
* [[Help:Guidelines#Maintainer|Maintainer]]<br />
<br />
== Common used Syntax ==<br />
See this page for the most common used Syntax [[Help:Syntax|Shortguide for the Syntax]]<br />
* [[Help:Syntax#Access Control|Access Control]]<br />
* [[Help:Syntax#Formatting|Formatting]]<br />
* [[Help:Syntax#Tables|Tables]]<br />
* [[Help:Syntax#Links|Links]]<br />
* [[Help:Syntax#Images|Images / Files]]<br />
* [[Help:Syntax#Table of Content|Table of Content]]<br />
* [[Help:Syntax#Navigation|Navigation]]<br />
* [[Help:Syntax#Categories|Categories]]<br />
For more detailed help see also the [https://www.mediawiki.org/wiki/Help:Contents#Editing official MediaWiki Help page].<br />
<br />
== Extentions ==<br />
In this wiki you have several extentions available. See the [[Help:Extentions|Shortguide for Extentions]]<br />
* [[Help:Extentions#Math|Math]]<br />
* [[Help:Extentions#Syntax_Highlighting_Geshi|Syntax Highlighting Geshi]]<br />
* [[Help:Extentions#Subpagelist|Sub Page List]]<br />
* [[Help:Extentions#IssueTracker|Issue Tracker]]<br />
* [[Help:Extentions#Article_Comment|Article Comment]]<br />
* [[Help:Extentions#File_.26_Picture.2C_Upload_.26_Download|File & Picture Upload]]<br />
* [[Help:Extentions#RSS_Feed|RSS]]<br />
<br />
== User with write rights ==<br />
There are a number of Users which have write privileges. See the [[Special:ListUsers|User List]] page or below.<br />
* [[User:cof|Corthay François]] (cof)<br />
* [[User:bic|Bianci Christophe]] (bic)<br />
* [[User:mui|Mudry Pierre-André]] (mui)<br />
* [[User:kar|Kannan Riad]] (kar)<br />
* [[User:moj|Moerschell Joseph]] (moj)<br />
* [[User:rog|Roggo Dominique]] (rog)<br />
* [[User:guo|Gubler Oliver]] (guo)<br />
* [[User:prc|Praplan Charles]] (prc)<br />
* [[User:pel|Perrier Laurent]] (pel)<br />
* [[User:per|Petrovic Darko]] (per) <br />
* [[User:pim|Pignat Marc]] (pim)<br />
* [[User:clm|Clausen Michael]] (clm)<br />
* [[User:prb|Praplan Bastien]] (prb)<br />
* [[User:cac|Castellaro Christian]] (cac)<br />
* [[User:cuy|Cuenin Yann]] (cuy)<br />
* [[User:fud|Furrer Dominic]] (fud)<br />
* [[User:zas|Zahno Silvan]] (zas)<br />
<br />
== Useful Special Wikipages ==<br />
* [[MediaWiki:Sidebar|MediaWiki Sidebar Links]]<br />
* [[MediaWiki:Geshi.css|Geshi Extentions CSS]]<br />
* [[Default_Page|Default Template Page]]<br />
<br />
== Created Templates ==<br />
* [[Template:TOC_right|TOC right template]]<br />
* [[Template:private|Private template]]<br />
* [[Template:public|Public template]]<br />
* [[Template:nav|Navigation template]]<br />
<br />
<br />
[[Category:Help]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/MediaWiki:SidebarMediaWiki:Sidebar2012-06-06T08:54:32Z<p>Admin eda: </p>
<hr />
<div><br />
* Navigation<br />
** mainpage|Mainpage<br />
*** tools|Tools<br />
*** hardware|Hardware<br />
*** languages|Languages <br />
*** standards|Standards<br />
*** projects|Projects <br />
*** links|Links <br />
*** articles|Articles<br />
*** helppage|help<br />
*** sandbox|Sandbox<br />
<br />
* Browse<br />
** Special:Categories|Categories<br />
** Special:AllPages|All Pages<br />
** recentchanges-url|recentchanges<br />
** randompage-url|randompage<br />
<br />
* SEARCH<br />
* TOOLBOX<br />
* LANGUAGES</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Template:PrivateTemplate:Private2012-06-05T13:13:09Z<p>Admin eda: </p>
<hr />
<div><noinclude><br />
== Usage ==<br />
It allows to keep a page private and only accessible by a registered Novell user. Copy following code into any wiki page<br />
<pre><nowiki>{{private}}</nowiki> </pre><br />
</noinclude><br />
<br />
<accesscontrol>Administrators,,wikieda</accesscontrol></div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Help:SyntaxHelp:Syntax2012-05-22T08:58:15Z<p>Admin eda: </p>
<hr />
<div>{{TOC right}}<br />
= Access Control =<br />
== Write Access ==<br />
All pages are only editable with a granted Novell Account. See [[here]] a list of all users.<br />
<br />
== Read Access ==<br />
All pages are by default visible by everybody. Is you want to restrict a page to registered users only, add the following line at the begin of the page.<br />
<br />
This line is automatically added if a new page is created.<br />
<br />
= Formatting =<br />
== Headings ==<br />
<pre><br />
= Heading 1 =<br />
== Heading 2 ==<br />
=== Heading 3 ===<br />
==== Heading 4 ====<br />
===== Heading 5 =====<br />
====== Heading 6 ======<br />
</pre><br />
= Heading 1 =<br />
== Heading 2 ==<br />
=== Heading 3 ===<br />
==== Heading 4 ====<br />
===== Heading 5 =====<br />
====== Heading 6 ======<br />
<br />
== Bold & Italic ==<br />
<pre><br />
'''This is a bold text'''<br />
</pre><br />
'''This is a bold text'''<br />
<pre><br />
''This is an italic text''<br />
</pre><br />
''This is an italic text''<br />
<pre><br />
'''''This is a bold & italic text'''''<br />
</pre><br />
'''''This is a bold & italic text'''''<br />
<br />
== Code ==<br />
=== Block Code ===<br />
<source lang="text"><br />
<pre><br />
Code formatted style here<br />
</pre><br />
</source><br />
<br />
<pre><br />
Code formatted style here<br />
</pre><br />
<br />
=== Inline code ===<br />
<pre><br />
<code> This is Inline code </code><br />
</pre><br />
<br />
<code> This is a Inline code </code><br />
<br />
=== Syntax Highlighting ===<br />
For syntax highlighted code see the [[Help:Extentions#Syntax_Highlighting_Geshi|Geshi Extension]].<br />
<br />
== No Wiki Markup ==<br />
<pre><br />
<nowiki><nowiki>Text ''without'' '''wiki''' markup</nowiki></nowiki><br />
</pre><br />
<nowiki>Text ''without'' '''wiki''' markup</nowiki><br />
<br />
== Lists ==<br />
=== Bullet list ===<br />
<pre><br />
* Start each line<br />
* with an [[Wikipedia:asterisk|asterisk]] (*).<br />
** More asterisks gives deeper<br />
*** and deeper levels.<br />
* Line breaks<br/>don't break levels.<br />
*** But jumping levels creates empty space.<br />
Any other start ends the list.<br />
</pre><br />
<br />
* Start each line<br />
* with an [[Wikipedia:asterisk|asterisk]] (*).<br />
** More asterisks gives deeper<br />
*** and deeper levels.<br />
* Line breaks<br/>don't break levels.<br />
*** But jumping levels creates empty space.<br />
Any other start ends the list.<br />
<br />
=== Numbered list ===<br />
<pre><br />
# Start each line<br />
# with a [[Wikipedia:Number_sign|number sign]] (#).<br />
## More number signs gives deeper<br />
### and deeper<br />
### levels.<br />
# Line breaks<br/>don't break levels.<br />
### But jumping levels creates empty space.<br />
# Blank lines<br />
<br />
# end the list and start another.<br />
Any other start also ends the list.<br />
</pre><br />
<br />
# Start each line<br />
# with a [[Wikipedia:Number_sign|number sign]] (#).<br />
## More number signs gives deeper<br />
### and deeper<br />
### levels.<br />
# Line breaks<br/>don't break levels.<br />
### But jumping levels creates empty space.<br />
# Blank lines<br />
<br />
# end the list and start another.<br />
Any other start also ends the list.<br />
<br />
== Indent ==<br />
<pre><br />
: Single indent<br />
:: Double indent<br />
::::: Multiple indent<br />
</pre><br />
<br />
: Single indent<br />
:: Double indent<br />
::::: Multiple indent<br />
<br />
== Tables ==<br />
{|class=wikitable<br />
|-<br />
|<nowiki>{|</nowiki> || <nowiki><table></nowiki> || '''Tabellenanfang'''<br />
|-<br />
|<nowiki>|+</nowiki> || <nowiki>&nbsp;</nowiki> || '''Tabellenüberschrift''', ''optional;'' nur einmal pro Tabelle zwischen Tabellenanfang und erster Zeile definierbar<br />
|-<br />
|<nowiki>|-</nowiki> || <nowiki><tr></nowiki> || neue '''Tabellenzeile'''<!-- , Unklar ''optional in der ersten Zeile'' -- wiki engine assumes the first row --><br />
|-<br />
|<nowiki>!</nowiki> || <nowiki><th></nowiki> || '''Tabellenkopfzelle''', ''optional.'' Aufeinanderfolgende Kopfzellen können, durch doppelte Ausrufezeichen (!!) getrennt, in der gleichen Zeile folgen oder mit einfachen Ausrufezeichen (!) auf einer neuen Zeile beginnen.<br />
|- <br />
|<nowiki>|</nowiki> || <nowiki><td></nowiki> || '''Tabellenzelle''', ''Pflicht!'' Aufeinanderfolgende Zellen können, durch doppelte Striche (<nowiki>||</nowiki>) getrennt, in der gleichen Zeile folgen oder mit einfachem Strich (<nowiki>|</nowiki>) auf einer neuen Zeile beginnen.<br />
|-<br />
|<nowiki>|}</nowiki> || <nowiki></table></nowiki> || '''Tabellenende'''<br />
|}<br />
<br />
<pre><br />
{|class=wikitable<br />
|-<br />
| Cell 1-1 || Cell 1-2 || Cell 1-3<br />
|-<br />
| Cell 2-1 || Cell 2-2 ||<br />
|- <br />
| Cell 3-1 || Cell 3-2<br />
|-<br />
| Cell 4<br />
|-<br />
|}<br />
</pre><br />
{|class=wikitable<br />
|-<br />
| Cell 1-1 || Cell 1-2 || Cell 1-3<br />
|-<br />
| Cell 2-1 || Cell 2-2 ||<br />
|- <br />
| Cell 3-1 || Cell 3-2<br />
|-<br />
| Cell 4<br />
|-<br />
|}<br />
<br />
== Links ==<br />
=== Internal Links ===<br />
'''Note: Double square brackets and | for separation.'''<br />
<pre><br />
[[Namelink|DisplayName]]<br />
e.g.<br />
[[Help:Extentions|Extention page]]<br />
</pre><br />
[[Help:Extentions|Extention page]]<br />
<br />
=== External Links ===<br />
'''Note: Single square brackets and <space> for separation.'''<br />
<pre><br />
[http://urladdress Display name]<br />
e.g.<br />
[http://hevs.ch HES-SO//VS Webpage]<br />
</pre><br />
[http://hevs.ch HES-SO//VS Webpage]<br />
<br />
= File & Picture Upload =<br />
To upload a file click on the [[Special:Upload|Upload File]] button that is located on the left panel. Your file must be smaller than 2MB and the extension must be authorized.<br />
<br />
For the moment the authorized extensions are: png, gif, jpg, jpeg, pdf, zip, rar, tar, tgz, gz, txt, bash, config, vhdl, do<br />
<br />
== File Links ==<br />
In order to download a file. It can be an image or any other type of authorized file.<br />
<br />
'''Note: Double Brackets and | for separation with type ''Media''.'''<br />
<pre><br />
[[Media:filename.extension|Display name]]<br />
e.g.<br />
[[Media:edawiki.jpg|Display name]]<br />
</pre><br />
[[Media:edawiki.jpg|Display name]]<br />
<br />
== Images ==<br />
'''Note: Double Brackets and | for separation with type ''File''.'''<br />
<pre><br />
[[File:filename.extension|options|caption]]<br />
e.g.<br />
[[File:edawiki.jpg|left|100px|EDA Wiki Logo left]]<br />
[[File:edawiki.jpg|center|100px|EDA Wiki Logo center]]<br />
[[File:edawiki.jpg|right|100px|EDA Wiki Logo right]]<br />
[[File:edawiki.jpg|thumb|100px|EDA Wiki Logo thumbnail]]<br />
[[File:edawiki.jpg|link=Help:Syntax|200px]]<br />
</pre><br />
[[File:edawiki.jpg|left|100px|EDA Wiki Logo left]]<br />
[[File:edawiki.jpg|center|100px|EDA Wiki Logo center]]<br />
[[File:edawiki.jpg|right|100px|EDA Wiki Logo right]]<br />
[[File:edawiki.jpg|thumb|100px|EDA Wiki Logo thumbnail]]<br />
[[File:edawiki.jpg|link=Help:Syntax|200px]]<br />
<br />
= Table of Content =<br />
To put the Table of Content (TOC) that the right side of the page write the following at the beginning of a page<br />
<pre><br />
{{TOC right}}<br />
</pre><br />
<br />
= Categories =<br />
In order to put a page into a Category add the following line at the end of the page:<br />
<pre><br />
[[Category:Category name]]<br />
</pre><br />
<br />
<br />
To see a list of all available Categories see [[Special:Categories|here]].<br />
<br />
<br />
[[Category:Help]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Help:SyntaxHelp:Syntax2012-05-22T08:54:09Z<p>Admin eda: </p>
<hr />
<div>{{TOC right}}<br />
= Access Control =<br />
== Write Access ==<br />
All pages are only editable with a granted Novell Account. See [[here]] a list of all users.<br />
<br />
== Read Access ==<br />
All pages are by default visible by everybody. Is you want to restrict a page to registered users only, add the following line at the begin of the page.<br />
<pre><nowiki><accesscontrol>Administrators,,wikieda</accesscontrol></nowiki></pre><br />
This line is automatically added if a new page is created.<br />
<br />
= Formatting =<br />
== Headings ==<br />
<pre><br />
= Heading 1 =<br />
== Heading 2 ==<br />
=== Heading 3 ===<br />
==== Heading 4 ====<br />
===== Heading 5 =====<br />
====== Heading 6 ======<br />
</pre><br />
= Heading 1 =<br />
== Heading 2 ==<br />
=== Heading 3 ===<br />
==== Heading 4 ====<br />
===== Heading 5 =====<br />
====== Heading 6 ======<br />
<br />
== Bold & Italic ==<br />
<pre><br />
'''This is a bold text'''<br />
</pre><br />
'''This is a bold text'''<br />
<pre><br />
''This is an italic text''<br />
</pre><br />
''This is an italic text''<br />
<pre><br />
'''''This is a bold & italic text'''''<br />
</pre><br />
'''''This is a bold & italic text'''''<br />
<br />
== Code ==<br />
=== Block Code ===<br />
<source lang="text"><br />
<pre><br />
Code formatted style here<br />
</pre><br />
</source><br />
<br />
<pre><br />
Code formatted style here<br />
</pre><br />
<br />
=== Inline code ===<br />
<pre><br />
<code> This is Inline code </code><br />
</pre><br />
<br />
<code> This is a Inline code </code><br />
<br />
=== Syntax Highlighting ===<br />
For syntax highlighted code see the [[Help:Extentions#Syntax_Highlighting_Geshi|Geshi Extension]].<br />
<br />
== No Wiki Markup ==<br />
<pre><br />
<nowiki><nowiki>Text ''without'' '''wiki''' markup</nowiki></nowiki><br />
</pre><br />
<nowiki>Text ''without'' '''wiki''' markup</nowiki><br />
<br />
== Lists ==<br />
=== Bullet list ===<br />
<pre><br />
* Start each line<br />
* with an [[Wikipedia:asterisk|asterisk]] (*).<br />
** More asterisks gives deeper<br />
*** and deeper levels.<br />
* Line breaks<br/>don't break levels.<br />
*** But jumping levels creates empty space.<br />
Any other start ends the list.<br />
</pre><br />
<br />
* Start each line<br />
* with an [[Wikipedia:asterisk|asterisk]] (*).<br />
** More asterisks gives deeper<br />
*** and deeper levels.<br />
* Line breaks<br/>don't break levels.<br />
*** But jumping levels creates empty space.<br />
Any other start ends the list.<br />
<br />
=== Numbered list ===<br />
<pre><br />
# Start each line<br />
# with a [[Wikipedia:Number_sign|number sign]] (#).<br />
## More number signs gives deeper<br />
### and deeper<br />
### levels.<br />
# Line breaks<br/>don't break levels.<br />
### But jumping levels creates empty space.<br />
# Blank lines<br />
<br />
# end the list and start another.<br />
Any other start also ends the list.<br />
</pre><br />
<br />
# Start each line<br />
# with a [[Wikipedia:Number_sign|number sign]] (#).<br />
## More number signs gives deeper<br />
### and deeper<br />
### levels.<br />
# Line breaks<br/>don't break levels.<br />
### But jumping levels creates empty space.<br />
# Blank lines<br />
<br />
# end the list and start another.<br />
Any other start also ends the list.<br />
<br />
== Indent ==<br />
<pre><br />
: Single indent<br />
:: Double indent<br />
::::: Multiple indent<br />
</pre><br />
<br />
: Single indent<br />
:: Double indent<br />
::::: Multiple indent<br />
<br />
== Tables ==<br />
{|class=wikitable<br />
|-<br />
|<nowiki>{|</nowiki> || <nowiki><table></nowiki> || '''Tabellenanfang'''<br />
|-<br />
|<nowiki>|+</nowiki> || <nowiki>&nbsp;</nowiki> || '''Tabellenüberschrift''', ''optional;'' nur einmal pro Tabelle zwischen Tabellenanfang und erster Zeile definierbar<br />
|-<br />
|<nowiki>|-</nowiki> || <nowiki><tr></nowiki> || neue '''Tabellenzeile'''<!-- , Unklar ''optional in der ersten Zeile'' -- wiki engine assumes the first row --><br />
|-<br />
|<nowiki>!</nowiki> || <nowiki><th></nowiki> || '''Tabellenkopfzelle''', ''optional.'' Aufeinanderfolgende Kopfzellen können, durch doppelte Ausrufezeichen (!!) getrennt, in der gleichen Zeile folgen oder mit einfachen Ausrufezeichen (!) auf einer neuen Zeile beginnen.<br />
|- <br />
|<nowiki>|</nowiki> || <nowiki><td></nowiki> || '''Tabellenzelle''', ''Pflicht!'' Aufeinanderfolgende Zellen können, durch doppelte Striche (<nowiki>||</nowiki>) getrennt, in der gleichen Zeile folgen oder mit einfachem Strich (<nowiki>|</nowiki>) auf einer neuen Zeile beginnen.<br />
|-<br />
|<nowiki>|}</nowiki> || <nowiki></table></nowiki> || '''Tabellenende'''<br />
|}<br />
<br />
<pre><br />
{|class=wikitable<br />
|-<br />
| Cell 1-1 || Cell 1-2 || Cell 1-3<br />
|-<br />
| Cell 2-1 || Cell 2-2 ||<br />
|- <br />
| Cell 3-1 || Cell 3-2<br />
|-<br />
| Cell 4<br />
|-<br />
|}<br />
</pre><br />
{|class=wikitable<br />
|-<br />
| Cell 1-1 || Cell 1-2 || Cell 1-3<br />
|-<br />
| Cell 2-1 || Cell 2-2 ||<br />
|- <br />
| Cell 3-1 || Cell 3-2<br />
|-<br />
| Cell 4<br />
|-<br />
|}<br />
<br />
== Links ==<br />
=== Internal Links ===<br />
'''Note: Double square brackets and | for separation.'''<br />
<pre><br />
[[Namelink|DisplayName]]<br />
e.g.<br />
[[Help:Extentions|Extention page]]<br />
</pre><br />
[[Help:Extentions|Extention page]]<br />
<br />
=== External Links ===<br />
'''Note: Single square brackets and <space> for separation.'''<br />
<pre><br />
[http://urladdress Display name]<br />
e.g.<br />
[http://hevs.ch HES-SO//VS Webpage]<br />
</pre><br />
[http://hevs.ch HES-SO//VS Webpage]<br />
<br />
= File & Picture Upload =<br />
To upload a file click on the [[Special:Upload|Upload File]] button that is located on the left panel. Your file must be smaller than 2MB and the extension must be authorized.<br />
<br />
For the moment the authorized extensions are: png, gif, jpg, jpeg, pdf, zip, rar, tar, tgz, gz, txt, bash, config, vhdl, do<br />
<br />
== File Links ==<br />
In order to download a file. It can be an image or any other type of authorized file.<br />
<br />
'''Note: Double Brackets and | for separation with type ''Media''.'''<br />
<pre><br />
[[Media:filename.extension|Display name]]<br />
e.g.<br />
[[Media:edawiki.jpg|Display name]]<br />
</pre><br />
[[Media:edawiki.jpg|Display name]]<br />
<br />
== Images ==<br />
'''Note: Double Brackets and | for separation with type ''File''.'''<br />
<pre><br />
[[File:filename.extension|options|caption]]<br />
e.g.<br />
[[File:edawiki.jpg|left|100px|EDA Wiki Logo left]]<br />
[[File:edawiki.jpg|center|100px|EDA Wiki Logo center]]<br />
[[File:edawiki.jpg|right|100px|EDA Wiki Logo right]]<br />
[[File:edawiki.jpg|thumb|100px|EDA Wiki Logo thumbnail]]<br />
[[File:edawiki.jpg|link=Help:Syntax|200px]]<br />
</pre><br />
[[File:edawiki.jpg|left|100px|EDA Wiki Logo left]]<br />
[[File:edawiki.jpg|center|100px|EDA Wiki Logo center]]<br />
[[File:edawiki.jpg|right|100px|EDA Wiki Logo right]]<br />
[[File:edawiki.jpg|thumb|100px|EDA Wiki Logo thumbnail]]<br />
[[File:edawiki.jpg|link=Help:Syntax|200px]]<br />
<br />
= Table of Content =<br />
To put the Table of Content (TOC) that the right side of the page write the following at the beginning of a page<br />
<pre><br />
{{TOC right}}<br />
</pre><br />
<br />
= Categories =<br />
In order to put a page into a Category add the following line at the end of the page:<br />
<pre><br />
[[Category:Category name]]<br />
</pre><br />
<br />
<br />
To see a list of all available Categories see [[Special:Categories|here]].<br />
<br />
<br />
[[Category:Help]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Hardware/FPGARackHardware/FPGARack2012-03-29T07:34:19Z<p>Admin eda: </p>
<hr />
<div><accesscontrol>Administrators,,wikieda</accesscontrol><br />
{{TOC right}}<br />
<br />
<br />
On this page you can starting bouncing ideas, whishes, concerns etc. for the new Board FPGA-RACK.<br />
<br />
You can also discuss stuff on the Discussion page<br />
<br />
===== Main Features =====<br />
* Big FPGA<br />
* Size for Rack mounting with big backside connector<br />
** Usable in Eurocard height Rack<br />
** Usable in 19" 3U Rack<br />
* Backside Connector [http://de.wikipedia.org/wiki/VMEbus VME] Compatible. [http://www.interfacebus.com/Design_Connector_VME.html Description]<br />
** VME or IEEE 1014-1987 (96Pin; 3*32Pin)<br />
*** [http://www.interfacebus.com/VME_P1_Connector_Pinout.html VME P1]<br />
*** [http://www.interfacebus.com/VME_P2_Connector_Pinout.html VME P2]<br />
** VMEx64 or ANSI/VITA 1-1994 (160Pin; 5*32Pin)<br />
*** [http://www.interfacebus.com/Design_VME64x_P1.html VMEx64 P1]<br />
*** [http://www.interfacebus.com/Design_VME64x_P2.html VMEx64 P2]<br />
* Ethernet<br />
** 1 or 2 Ports ?<br />
** 100 or 1000 Mbits<br />
* USB<br />
* Board euro Size (100mm*160mm*1.6mm)<br />
<br />
===== Whishes =====<br />
* Interchangeable FPGA (FPGA on Piggy Back Board)<br />
** FMC Connector<br />
* USB FTDI Chip for FPGA Programming and Data Transfer<br />
** FT232H or FT2232H<br />
* Xilinx Spartan 6 >= XC6SLX45 (45K Gates)<br />
<br />
===== Concerns =====<br />
* Voltage Level for ADC Connection<br />
* High Speed Pins >= 66MHz<br />
<br />
[[File:fpga_rack_system.png|FPGA Rack System]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Hardware/FPGARackHardware/FPGARack2012-03-29T07:34:04Z<p>Admin eda: </p>
<hr />
<div><accesscontrol>Administrators,,wikieda</accesscontrol><br />
{{TOC right}}<br />
<br />
<br />
On this page you can starting bouncing ideas, whishes, concerns etc. for the new Board FPGA-RACK.<br />
<br />
You can also discuss stuff on the Discussion page<br />
<br />
===== Main Features =====<br />
* Big FPGA<br />
* Size for Rack mounting with big backside connector<br />
** Usable in Eurocard height Rack<br />
** Usable in 19" 3U Rack<br />
* Backside Connector [http://de.wikipedia.org/wiki/VMEbus VME] Compatible. [http://www.interfacebus.com/Design_Connector_VME.html Description]<br />
** VME or IEEE 1014-1987 (96Pin; 3*32Pin)<br />
*** [http://www.interfacebus.com/VME_P1_Connector_Pinout.html VME P1]<br />
*** [http://www.interfacebus.com/VME_P2_Connector_Pinout.html VME P2]<br />
** VMEx64 or ANSI/VITA 1-1994 (160Pin; 5*32Pin)<br />
*** [http://www.interfacebus.com/Design_VME64x_P1.html VMEx64 P1]<br />
*** [http://www.interfacebus.com/Design_VME64x_P2.html VMEx64 P2]<br />
* Ethernet<br />
** 1 or 2 Ports ?<br />
** 100 or 1000 Mbits<br />
* USB<br />
* Board euro Size (100mm*160mm*1.6mm)<br />
<br />
===== Whishes =====<br />
* Interchangeable FPGA (FPGA on Piggy Back Board)<br />
** FMC Connector<br />
* USB FTDI Chip for FPGA Programming and Data Transfer<br />
** FT232H or FT2232H<br />
* Xilinx Spartan 6 >= XC6SLX45 (45K Gates)<br />
<br />
===== Concerns =====<br />
* Voltage Level for ADC Connection<br />
* High Speed Pins >= 66MHz<br />
<br />
[[File:fpga_rack_system.png|200px|FPGA Rack System]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Hardware/FPGARackHardware/FPGARack2012-03-29T07:33:49Z<p>Admin eda: </p>
<hr />
<div><accesscontrol>Administrators,,wikieda</accesscontrol><br />
{{TOC right}}<br />
<br />
<br />
On this page you can starting bouncing ideas, whishes, concerns etc. for the new Board FPGA-RACK.<br />
<br />
You can also discuss stuff on the Discussion page<br />
<br />
===== Main Features =====<br />
* Big FPGA<br />
* Size for Rack mounting with big backside connector<br />
** Usable in Eurocard height Rack<br />
** Usable in 19" 3U Rack<br />
* Backside Connector [http://de.wikipedia.org/wiki/VMEbus VME] Compatible. [http://www.interfacebus.com/Design_Connector_VME.html Description]<br />
** VME or IEEE 1014-1987 (96Pin; 3*32Pin)<br />
*** [http://www.interfacebus.com/VME_P1_Connector_Pinout.html VME P1]<br />
*** [http://www.interfacebus.com/VME_P2_Connector_Pinout.html VME P2]<br />
** VMEx64 or ANSI/VITA 1-1994 (160Pin; 5*32Pin)<br />
*** [http://www.interfacebus.com/Design_VME64x_P1.html VMEx64 P1]<br />
*** [http://www.interfacebus.com/Design_VME64x_P2.html VMEx64 P2]<br />
* Ethernet<br />
** 1 or 2 Ports ?<br />
** 100 or 1000 Mbits<br />
* USB<br />
* Board euro Size (100mm*160mm*1.6mm)<br />
<br />
===== Whishes =====<br />
* Interchangeable FPGA (FPGA on Piggy Back Board)<br />
** FMC Connector<br />
* USB FTDI Chip for FPGA Programming and Data Transfer<br />
** FT232H or FT2232H<br />
* Xilinx Spartan 6 >= XC6SLX45 (45K Gates)<br />
<br />
===== Concerns =====<br />
* Voltage Level for ADC Connection<br />
* High Speed Pins >= 66MHz<br />
<br />
[[Media:fpga_rack_system.png|200px|FPGA Rack System]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/File:Fpga_rack_system.pngFile:Fpga rack system.png2012-03-29T07:33:01Z<p>Admin eda: FPGA Rack Board overview</p>
<hr />
<div>FPGA Rack Board overview</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/MediaWiki:SidebarMediaWiki:Sidebar2012-03-29T07:02:47Z<p>Admin eda: </p>
<hr />
<div><br />
* Navigation<br />
** mainpage|Mainpage<br />
*** tools|Tools<br />
*** hardware|Hardware<br />
*** languages|Languages <br />
*** standards|Standards<br />
*** projects|Projects <br />
*** links|Links <br />
*** articles|Articles<br />
*** helppage|help<br />
<br />
* Browse<br />
** Special:Categories|Categories<br />
** Special:AllPages|All Pages<br />
** recentchanges-url|recentchanges<br />
** randompage-url|randompage<br />
<br />
* SEARCH<br />
* TOOLBOX<br />
* LANGUAGES</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/MediaWiki:SidebarMediaWiki:Sidebar2012-02-24T09:21:44Z<p>Admin eda: </p>
<hr />
<div><br />
* Navigation<br />
** mainpage|Mainpage<br />
*** tools|Tools<br />
*** hardware|Hardware<br />
*** languages|Languages <br />
*** projects|Projects <br />
*** links|Links <br />
*** articles|Articles<br />
*** helppage|help<br />
<br />
* Browse<br />
** Special:Categories|Categories<br />
** Special:AllPages|All Pages<br />
** recentchanges-url|recentchanges<br />
** randompage-url|randompage<br />
<br />
* SEARCH<br />
* TOOLBOX<br />
* LANGUAGES</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/File:VHDL_syntax.pdfFile:VHDL syntax.pdf2012-02-15T13:01:12Z<p>Admin eda: VHDL Syntax Summary PDF</p>
<hr />
<div>VHDL Syntax Summary PDF</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Languages/VHDL/SummariesLanguages/VHDL/Summaries2012-02-15T13:00:47Z<p>Admin eda: </p>
<hr />
<div>{{TOC right}}<br />
<br />
'''Find here some useful VHDL Summaries'''<br />
* [[Media:VHDL_syntax.pdf|VHDL_Summary]]<br />
* [http://zawiki.dyndns.org/~zas/zawiki/pages/hesso/vhdl/index.HTML HTML VHDL Summary]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Languages/VHDL/SummariesLanguages/VHDL/Summaries2012-02-15T12:59:22Z<p>Admin eda: </p>
<hr />
<div>{{TOC right}}<br />
<br />
'''Find here some useful VHDL Summaries'''<br />
* [[Media:VHDL_Summary]]<br />
* [http://zawiki.dyndns.org/~zas/zawiki/pages/hesso/vhdl/index.HTML HTML VHDL Summary]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Languages/VHDL/SummariesLanguages/VHDL/Summaries2012-02-15T12:59:08Z<p>Admin eda: </p>
<hr />
<div>{{TOC right}}<br />
<br />
'''Find here some useful VHDL Summaries'''<br />
* [[Media:VHDL_Summary]]<br />
* [http://zawiki.dyndns.org/~zas/zawiki/pages/hesso/vhdl/index.html HTML VHDL Summary]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Languages/VHDL/SummariesLanguages/VHDL/Summaries2012-02-15T12:58:43Z<p>Admin eda: </p>
<hr />
<div>{{TOC right}}<br />
<br />
'''Find here some useful VHDL Summaries'''<br />
* [[Media:VHDL_Summary]]<br />
* [http://zawiki.dyndns.org/~zas/zawiki/pages/hesso/vhdl/index.htm HTML VHDL Summary]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Languages/VHDL/SummariesLanguages/VHDL/Summaries2012-02-15T12:57:59Z<p>Admin eda: Created page with "{{TOC right}} '''Find here some useful VHDL Summaries''' * Media:VHDL_Summary * [http://zawiki.begincoding.org/~zas/zawiki/pages/hesso/vhdhl/index.htm HTML VHDL Summary]"</p>
<hr />
<div>{{TOC right}}<br />
<br />
'''Find here some useful VHDL Summaries'''<br />
* [[Media:VHDL_Summary]]<br />
* [http://zawiki.begincoding.org/~zas/zawiki/pages/hesso/vhdhl/index.htm HTML VHDL Summary]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/LanguagesLanguages2012-02-15T12:55:52Z<p>Admin eda: /* SystemVerilog */</p>
<hr />
<div>{{TOC right}}<br />
<br />
'''Knowledge Database about Languages used in the field of Digital Hardware Designing '''<br />
<br />
== VHDL ==<br />
* [[Languages/VHDL/Syntax|VHDL Syntax]]<br />
* [[Languages/VHDL/Libraries|VHDL Libraries]]<br />
* [[Languages/VHDL/Examples|VHDL Examples]]<br />
* [[Languages/VHDL/Summaries|VHDL Summaries]]<br />
<br />
== Tcl_Tk ==<br />
* [[Languages/TclTk/Syntax|Tcl-Tk Syntax]]<br />
* [[Languages/TclTk/Examples|Tcl-Tk Examples]]<br />
<br />
== SystemVerilog ==<br />
* [[Languages/SystemVerilog/Syntax|System Verilog Syntax]]<br />
* [[Languages/SystemVerilog/Libraries|System Verilog Libraries]]<br />
* [[Languages/SystemVerilog/Links|System Verilog Links]]<br />
* [[Languages/UVM/Definition|Universal Verification Methodology]]<br />
* [[Languages/UVM/Links|Universal Verification Methodology Links]]<br />
<br />
== Perl ==<br />
* [[Languages/Perl|Perl]]<br />
<br />
<br />
<br />
[[Category:Languages]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Tools/Setup%26LicensingTools/Setup&Licensing2012-02-14T07:23:01Z<p>Admin eda: </p>
<hr />
<div><accesscontrol>Administrators,,wikieda</accesscontrol><br />
{{TOC right}}<br />
= Preparation of installation =<br />
Please refer to [[Tools/Setup&Licensing/Linux_preparation| Linux Preparation]] section for further information about the Linux installation.<br />
<br />
== Mentor HDL-Designer ==<br />
Current HES-SO//VS version is: <code>2009.2</code>, found in <code>T:\Applications\mentor</code><br />
<br />
Environment variable for license: <br />
<pre><br />
LM_LICENSE_FILE = 27001@mentorlm.hevs.ch<br />
</pre><br />
<br />
''' Windows '''<br />
* HDL Designer Configuration<br />
* HDL Designer Use<br />
<br />
''' Linux '''<br />
* HDL Designer installation<br />
<br />
== Mentor ModelSim ==<br />
Current HES-SO//VS version is: <code>6.6a SE</code>, found in <code>T:\Applications\mentor</code><br />
Environment variable for license:<br />
<pre><br />
LM_LICENSE_FILE = 27001@mentorlm.hevs.ch<br />
</pre><br />
<br />
''' Linux '''<br />
* Modelsim installation<br />
<br />
== Xilinx ISE ==<br />
Current HES-SO//VS version is: <code>12.1</code>, found in <code>T:\Applications\xilinx</code><br />
<br />
Environment variable for license:<br />
<pre><br />
LM_LICENSE_FILE = 2100@xilinxlm.hevs.ch<br />
</pre><br />
<br />
''' Linux '''<br />
* Xilinx ISE installation<br />
<br />
== Microsemi (Actel) Libero SoC (IDE) ==<br />
Environment variable for license:<br />
<pre><br />
LM_LICENSE_FILE = 1702@actellm.hevs.ch<br />
</pre><br />
<br />
== Synopsys Synplify ==<br />
Environment variable for license:<br />
<pre><br />
LM_LICENSE_FILE = 1820@synplicitylm.hevs.ch<br />
</pre><br />
<br />
== Eclipse ==<br />
''' Linux '''<br />
* Eclipse installation & use<br />
<br />
''' Windows '''<br />
* Eclipse installation & use<br />
<br />
== Samba Webaccess for Linux ==<br />
Learn howto set up your [https://svn.hevs.ch/trac/eda/login/wiki/Linux_samba Novell Samba Access] for Linux to access the network folders.<br />
<br />
[[Category:Tools]]<br />
[[Category:Microsemi]]<br />
[[Category:Mentor]]<br />
[[Category:Xilinx]]<br />
[[Category:Mentor]]<br />
[[Category:Synplify]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Tools/Setup%26LicensingTools/Setup&Licensing2012-02-14T07:22:36Z<p>Admin eda: </p>
<hr />
<div><accesscontrol>Administrators,,wikieda</accesscontrol><br />
<br />
{{TOC right}}<br />
= Preparation of installation =<br />
Please refer to [[Tools/Setup&Licensing/Linux_preparation| Linux Preparation]] section for further information about the Linux installation.<br />
<br />
== Mentor HDL-Designer ==<br />
Current HES-SO//VS version is: <code>2009.2</code>, found in <code>T:\Applications\mentor</code><br />
<br />
Environment variable for license: <br />
<pre><br />
LM_LICENSE_FILE = 27001@mentorlm.hevs.ch<br />
</pre><br />
<br />
''' Windows '''<br />
* HDL Designer Configuration<br />
* HDL Designer Use<br />
<br />
''' Linux '''<br />
* HDL Designer installation<br />
<br />
== Mentor ModelSim ==<br />
Current HES-SO//VS version is: <code>6.6a SE</code>, found in <code>T:\Applications\mentor</code><br />
Environment variable for license:<br />
<pre><br />
LM_LICENSE_FILE = 27001@mentorlm.hevs.ch<br />
</pre><br />
<br />
''' Linux '''<br />
* Modelsim installation<br />
<br />
== Xilinx ISE ==<br />
Current HES-SO//VS version is: <code>12.1</code>, found in <code>T:\Applications\xilinx</code><br />
<br />
Environment variable for license:<br />
<pre><br />
LM_LICENSE_FILE = 2100@xilinxlm.hevs.ch<br />
</pre><br />
<br />
''' Linux '''<br />
* Xilinx ISE installation<br />
<br />
== Microsemi (Actel) Libero SoC (IDE) ==<br />
Environment variable for license:<br />
<pre><br />
LM_LICENSE_FILE = 1702@actellm.hevs.ch<br />
</pre><br />
<br />
== Synopsys Synplify ==<br />
Environment variable for license:<br />
<pre><br />
LM_LICENSE_FILE = 1820@synplicitylm.hevs.ch<br />
</pre><br />
<br />
== Eclipse ==<br />
''' Linux '''<br />
* Eclipse installation & use<br />
<br />
''' Windows '''<br />
* Eclipse installation & use<br />
<br />
== Samba Webaccess for Linux ==<br />
Learn howto set up your [https://svn.hevs.ch/trac/eda/login/wiki/Linux_samba Novell Samba Access] for Linux to access the network folders.<br />
<br />
[[Category:Tools]]<br />
[[Category:Microsemi]]<br />
[[Category:Mentor]]<br />
[[Category:Xilinx]]<br />
[[Category:Mentor]]<br />
[[Category:Synplify]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Tools/Setup%26LicensingTools/Setup&Licensing2012-02-13T13:26:59Z<p>Admin eda: </p>
<hr />
<div><accesscontrol>Administrators,wikieda</accesscontrol><br />
<br />
{{TOC right}}<br />
= Preparation of installation =<br />
Please refer to [[Tools/Setup&Licensing/Linux_preparation| Linux Preparation]] section for further information about the Linux installation.<br />
<br />
== Mentor HDL-Designer ==<br />
Current HES-SO//VS version is: <code>2009.2</code>, found in <code>T:\Applications\mentor</code><br />
<br />
Environment variable for license: <br />
<pre><br />
LM_LICENSE_FILE = 27001@mentorlm.hevs.ch<br />
</pre><br />
<br />
''' Windows '''<br />
* HDL Designer Configuration<br />
* HDL Designer Use<br />
<br />
''' Linux '''<br />
* HDL Designer installation<br />
<br />
== Mentor ModelSim ==<br />
Current HES-SO//VS version is: <code>6.6a SE</code>, found in <code>T:\Applications\mentor</code><br />
Environment variable for license:<br />
<pre><br />
LM_LICENSE_FILE = 27001@mentorlm.hevs.ch<br />
</pre><br />
<br />
''' Linux '''<br />
* Modelsim installation<br />
<br />
== Xilinx ISE ==<br />
Current HES-SO//VS version is: <code>12.1</code>, found in <code>T:\Applications\xilinx</code><br />
<br />
Environment variable for license:<br />
<pre><br />
LM_LICENSE_FILE = 2100@xilinxlm.hevs.ch<br />
</pre><br />
<br />
''' Linux '''<br />
* Xilinx ISE installation<br />
<br />
== Microsemi (Actel) Libero SoC (IDE) ==<br />
Environment variable for license:<br />
<pre><br />
LM_LICENSE_FILE = 1702@actellm.hevs.ch<br />
</pre><br />
<br />
== Synopsys Synplify ==<br />
Environment variable for license:<br />
<pre><br />
LM_LICENSE_FILE = 1820@synplicitylm.hevs.ch<br />
</pre><br />
<br />
== Eclipse ==<br />
''' Linux '''<br />
* Eclipse installation & use<br />
<br />
''' Windows '''<br />
* Eclipse installation & use<br />
<br />
== Samba Webaccess for Linux ==<br />
Learn howto set up your [https://svn.hevs.ch/trac/eda/login/wiki/Linux_samba Novell Samba Access] for Linux to access the network folders.<br />
<br />
[[Category:Tools]]<br />
[[Category:Microsemi]]<br />
[[Category:Mentor]]<br />
[[Category:Xilinx]]<br />
[[Category:Mentor]]<br />
[[Category:Synplify]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Tools/Setup%26Licensing/Linux_preparationTools/Setup&Licensing/Linux preparation2012-02-13T12:39:34Z<p>Admin eda: </p>
<hr />
<div><accesscontrol>Administrators,,wikieda</accesscontrol><br />
{{TOC right}}<br />
<br />
<accesscontrol>Administrators,,wikieda</accesscontrol><br />
== Linux Preparation ==<br />
''' On this page you can find the needed actions to prepare a Linux for the EDA installation '''<br />
<br />
All information on this page are tested for a (K)Ubuntu 10.04/10.10/11.10 amd64 Linux. The console commands are intended for a bash console running on (K)Ubuntu, perhaps it needs to be adapted to your system.<br />
<br />
== Install needed libs ==<br />
* Note 1: the following step only needs to be done for a 64bit Linux version<br />
* Note 2: from Kubuntu 11.04 on it does not seem to be necessary <br />
<br />
This library is needed in order to execute the GUI installation programs<br />
<br />
<source lang=bash><br />
sudo apt-get install ia32-libs<br />
</source><br />
<br />
== Register HES-SO License Servers ==<br />
The export commands needed to write into the <code>/etc/profile</code> file in order to survive a reboot<br />
<br />
Xilinx Tools<br />
<source lang=bash><br />
export LM_LICENSE_FILE=$LM_LICENSE_FILE:2100@xilinxlm.hevs.ch<br />
</source><br />
Mentor Tools<br />
<source lang=bash><br />
export LM_LICENSE_FILE=$LM_LICENSE_FILE:27001@mentorlm.hevs.ch<br />
</source><br />
Actel Tools<br />
<source lang=bash><br />
export LM_LICENSE_FILE=$LM_LICENSE_FILE:1702@actellm.hevs.ch<br />
</source><br />
Synopsys Tools<br />
<source lang=bash><br />
export LM_LICENSE_FILE=$LM_LICENSE_FILE:1820@synplicitylm.hevs.ch<br />
</source><br />
<br />
== Links ==<br />
* [http://supportnet.mentor.com/ Mentor Support net]<br />
<br />
[[Category:Tools]]<br />
[[Category:Linux]]<br />
[[Category:Microsemi]]<br />
[[Category:Xilinx]]<br />
[[Category:Synplify]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Tools/Setup%26LicensingTools/Setup&Licensing2012-02-13T12:38:04Z<p>Admin eda: </p>
<hr />
<div><accesscontrol>Administrators,wikieda</accesscontrol><br />
{{TOC right}}<br />
= Preparation of installation =<br />
Please refer to [[Tools/Setup&Licensing/Linux_preparation| Linux Preparation]] section for further information about the Linux installation.<br />
<br />
== Mentor HDL-Designer ==<br />
Current HES-SO//VS version is: <code>2009.2</code>, found in <code>T:\Applications\mentor</code><br />
<br />
Environment variable for license: <br />
<pre><br />
LM_LICENSE_FILE = 27001@mentorlm.hevs.ch<br />
</pre><br />
<br />
''' Windows '''<br />
* HDL Designer Configuration<br />
* HDL Designer Use<br />
<br />
''' Linux '''<br />
* HDL Designer installation<br />
<br />
== Mentor ModelSim ==<br />
Current HES-SO//VS version is: <code>6.6a SE</code>, found in <code>T:\Applications\mentor</code><br />
Environment variable for license:<br />
<pre><br />
LM_LICENSE_FILE = 27001@mentorlm.hevs.ch<br />
</pre><br />
<br />
''' Linux '''<br />
* Modelsim installation<br />
<br />
== Xilinx ISE ==<br />
Current HES-SO//VS version is: <code>12.1</code>, found in <code>T:\Applications\xilinx</code><br />
<br />
Environment variable for license:<br />
<pre><br />
LM_LICENSE_FILE = 2100@xilinxlm.hevs.ch<br />
</pre><br />
<br />
''' Linux '''<br />
* Xilinx ISE installation<br />
<br />
== Microsemi (Actel) Libero SoC (IDE) ==<br />
Environment variable for license:<br />
<pre><br />
LM_LICENSE_FILE = 1702@actellm.hevs.ch<br />
</pre><br />
<br />
== Synopsys Synplify ==<br />
Environment variable for license:<br />
<pre><br />
LM_LICENSE_FILE = 1820@synplicitylm.hevs.ch<br />
</pre><br />
<br />
== Eclipse ==<br />
''' Linux '''<br />
* Eclipse installation & use<br />
<br />
''' Windows '''<br />
* Eclipse installation & use<br />
<br />
== Samba Webaccess for Linux ==<br />
Learn howto set up your [https://svn.hevs.ch/trac/eda/login/wiki/Linux_samba Novell Samba Access] for Linux to access the network folders.<br />
<br />
[[Category:Tools]]<br />
[[Category:Microsemi]]<br />
[[Category:Mentor]]<br />
[[Category:Xilinx]]<br />
[[Category:Mentor]]<br />
[[Category:Synplify]]</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Default_PageDefault Page2012-02-13T12:37:47Z<p>Admin eda: </p>
<hr />
<div><accesscontrol>Administrators,,wikieda</accesscontrol><br />
{{TOC right}}</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/Tools/Mentor_HDL_DesignerTools/Mentor HDL Designer2012-02-13T12:35:15Z<p>Admin eda: </p>
<hr />
<div><accesscontrol>Administrators,,wikieda</accesscontrol><br />
{{TOC right}}</div>Admin edahttps://wiki.hevs.ch/uit/index.php5/LanguagesLanguages2012-02-09T13:33:30Z<p>Admin eda: /* SystemVerilog */</p>
<hr />
<div>{{TOC right}}<br />
<br />
'''Knowledge Database about Languages used in the field of Digital Hardware Designing '''<br />
<br />
== VHDL ==<br />
* [[Languages/VHDL_syntax|VHDL Syntax]]<br />
* [[Languages/VHDL_libraries|VHDL Libraries]]<br />
* [[Languages/VHDL_examples|VHDL Examples]]<br />
<br />
== Tcl_Tk ==<br />
* [[Languages/TclTk_syntax|Tcl-Tk Syntax]]<br />
* [[Languages/TclTk_examples|Tcl-Tk Examples]]<br />
<br />
== SystemVerilog ==<br />
* [[Languages/SystemVerilog_syntax|System Verilog Syntax]]<br />
* [[Languages/SystemVerilog_libraries|System Verilog Libraries]]<br />
* [[Languages/SystemVerilog_links|System Verilog Links]]<br />
* [[Languages/UVM_definition|Universal Verification Methodology]]<br />
* [[Languages/UVM_links|Universal Verification Methodology Links]]<br />
<br />
== Perl ==<br />
* [[Languages/Perl|Perl]]<br />
<br />
<br />
<br />
[[Category:Languages]]</div>Admin eda