You are looking at the HTML representation of the XML format.
HTML is good for debugging, but probably is not suitable for your application.
See complete documentation, or API help for more information.
<?xml version="1.0"?>
<api>
  <query>
    <pages>
      <page pageid="1003" ns="0" title="API">
        <revisions>
          <rev user="Sara.chalokh" timestamp="2017-08-25T09:13:45Z" comment="" xml:space="preserve">{{TOC right}}
= Classes =
* [[Components/Libraries/XF/FreeRTOS/Thread | Thread]]
* [[Components/Libraries/XF/FreeRTOS/IStateMachine | IStateMachine]]
* [[Components/Libraries/XF/FreeRTOS/StateMachine | StateMachine]]
* [[Components/Libraries/XF/FreeRTOS/Event | Event]]
* [[Components/Libraries/XF/FreeRTOS/TimerManager | TimerManager]]
* [[Components/Libraries/XF/FreeRTOS/EventDelay | EventDelay]]
* [[Components/Libraries/XF/FreeRTOS/StateMachineDirectory | StateMachineDirectory ]]
* [[Components/Libraries/XF/FreeRTOS/ErrorHandler | ErrorHandler ]]
* [[Components/Libraries/XF/FreeRTOS/Error | Error ]]</rev>
        </revisions>
      </page>
      <page pageid="1" ns="0" title="Main Page">
        <revisions>
          <rev user="Oliver.gubler" timestamp="2016-11-22T14:24:19Z" comment="/* News */" xml:space="preserve">
= Welcome to the HES-SO Valais Wallis Wiki of the Infotronics Unit =
{{TOC right}}
[[File:hesso_logo.png|left|200px|HESSO Valais Wallis Logo|link=http://www.hevs.ch]]
This is the knowledge database of the [http://www.hevs.ch HES-SO Valais Wallis]
[http://www.hevs.ch/en/rad-institutes/institute-of-systems-engineering/ Institute of Systems Engineering] Infotronics Unit (UIT).
It's the place to share experiences, findings, how-to's and everything else about HDL, Telecom, Embedded Systems and related topics.

Find more information about our educational program in the [http://wiki.hevs.ch/fsi FSI Wiki].

[[File:Icontexto-inside-rss.png|link=Help:http://wiki.hevs.ch/uit/index.php?title=Special:RecentChanges&amp;feed=rss|48px]] [http://wiki.hevs.ch/uit/index.php?title=Special:RecentChanges&amp;feed=rss Get informed about all changes to this wiki by signing up to this RSS feed]

= Getting started =
Use the ''Navigation'' to the left to enter the different sections or follow any of the following links:
* [[Help:Contents|Help]]
* [//meta.wikimedia.org/wiki/Help:Contents Wikimedia's Help]
* [//www.mediawiki.org/wiki/Manual:FAQ MediaWiki FAQ]
* [[Sandbox|Sandbox for test purposes]]

= News =
{{NewsBox|It's time to see life in PYNQ|2016-11-22|
PYNQ is an open-source project from Xilinx that makes it easy to design embedded systems with Xilinx Zynq All Programmable Systems on Chips (APSoCs). 
* http://www.pynq.io/ 
* [https://media.readthedocs.org/pdf/pynq/latest/pynq.pdf Documentation]
}}
{{NewsBox|Top Programming Languages 2016 according to IEEE Spectrum|2016-07-28|
''C'' finally in top place at the [http://spectrum.ieee.org/computing/software/the-2016-top-programming-languages IEEE Spectrums 2016 Top Programming Languages Ranking], surpassing ''Java'', followed by ''Python'' and ''C++''.&lt;br/&gt;
Following a general trend of [http://spectrum.ieee.org/computing/software/top-programming-languages-trends-the-rise-of-big-data languages related to big-data becoming increasingly important], [http://wiki.hevs.ch/R/index.php5/Main_Page R] managed to get to 5th place.
}}
{{NewsBox|First [[GroupFPGA]] meeting|2016-02-24|
The [[GroupFPGA]] has been founded to bring together all the collaborators at HEI instersted in programmable logic. 
The first meeting was accompanied by a presentation of the [https://spinalhdl.github.io/SpinalDoc/ SpinalHDL] by its inventor [https://fr.linkedin.com/in/charles-papon-9645476b Charles Papon]. A workshop about this language is planned in the near future.
}}
{{NewsBox|''[https://www.raspberrypi.org/products/raspberry-pi-3-model-b/ Raspberry Pi 3]'' has been released|2016-02-29|
The ''[https://www.raspberrypi.org/products/raspberry-pi-3-model-b/ Raspberry Pi 3]'' finally has built-in 802.11n Wi-Fi and Bluetooth 4.0 (and BLE) and still only costs 35$. In comparison to the ''Raspberry Pi 2'', the processor is about 50% faster with the 64-bit, 1.2 GHz ARM Cortex A53 and the graphics chips' speed is increased to 400 MHz. On the other side, the maximal current consumption is increased to 2.5 A.
}}
{{NewsBox|The freshest version of [http://www.qt.io/ide/ QtCreator] now includes an [http://doc.qt.io/qtcreator/creator-modeling.html UML-Editor]|2015-12-15|
The [http://doc.qt.io/qtcreator/creator-modeling.html Modeling-Editor] is still an early version, therefore it has to be activated in ''Help -&gt; Plugin -&gt; ModelEditor'' but it supports already Package, Class, Component, Use case and Activity diagrams. Elements can be added to the graphical view not only from the toolbar or the elements tree, but also by dropping source files on it.
}}
{{NewsBox|''HyperCam'' hyperspectral camera|2015-10-16|
Microsoft Research and the Universitiy of Washington just released a paper describing a camera that takes pictures at 17 different wavelengths.
* [https://goo.gl/DNMyNV Video]
* [http://www.geekwire.com/2015/see-through-snapshots-microsoft-and-uw-tech-takes-pictures-that-are-more-than-skin-deep Article at GeekWire]
}}
{{NewsBox|''SpinalHDL'' introduction in complex HDL projects|2015-07-21|
''SpinalHDL'', a very new high level hardware description library, is now used on the HDL complex design ''xADDACore'' - a fully auto-generated and customizable architecture for wide-band impedance spectroscopy (compatible with multiple hardware, some targeted projects : ''HiSADDA'', ''IGOR V'', ''MiniBioDet'' and ''OLGM''). 
More details on :
* [http://spinalhdl.github.io/SpinalHDL/ ''SpinalHDL'' Website]
* [https://github.com/SpinalHDL ''SpinalHDL'' Git]
* [https://github.com/SpinalHDL/SpinalDoc/blob/master/presentation/en/presentation.pdf ''SpinalHDL'' Presentation slides]
}}
{{NewsBox|''[[Tools/Mentor_HDL_Designer|HDL-Designer]] [[Tools/Versions#2015.1|2015.1]]'' is now available on [[User:Guo|guo]]'s [ftp://fpga:fpga@153.109.5.248 Software Server]|2015-04-21|
* VHDL 2008 enhancements
* SystemVerilog Assistant
* Xilinx Vivado interface
* bugfixes and minor enhancements}}
{{NewsBox|''[[Tools/Mentor_Modelsim|Model-]]/[[Tools/Mentor_Questasim|QuestaSim]] 10.4a'' is now available on  [[User:Guo|guo]]'s [ftp://fpga:fpga@153.109.5.248 Software Server]|2015-04-07|
* Performance improvements for SV (OVM/UVM), VHDL, HTML and libraries
* New Toolbars  (Edit Preferences)
* discontinued support for Windows XP and Vista!}}
{{NewsBox|''[[Tools/Synopsys_Synplify|Synplify]] [[Tools/Versions#2015.03|2015.3]]'' is now available on  [[User:Guo|guo]]'s [ftp://fpga:fpga@153.109.5.248 Software Server]|2015-03-12|
* Compiler Enhancements
* ...}}
{{NewsBox|''[https://sourceforge.net/p/winpython WinPython] 2.7.9.3 is now available on [[User:Guo|guo]]'s [ftp://fpga:fpga@153.109.5.248 Software Server]|2015-03-02|
* new packages: numpy, jsonschema, mistune, ...
* upgraded many packages
[[Category:Python]]}}
{{NewsBox|[http://www.qt.io/download-open-source/# ''Qt 5.4''] including [http://www.qt.io/download-open-source/#section-6 ''Qt Creator 3.3.0''] has been released|2013-12-12|
Check the [http://goo.gl/aTrF0i Summary of New Features]
}}
{{NewsBox|''[[Tools/Microsemi_Libero|Microsemi Libero SoC]] [[Tools/Versions#11.4|11.4]]'' is now available on [[User:Guo|guo]]'s [ftp://fpga:fpga@153.109.5.248 Software Server]|2014-08-07|
* Enlarged support for ''SmartFusion2'' and ''IGLOO2'' families
* Runtime and UI improvements
* ''SoftConsole v3.4 requires the SP1 to be compatible with Libero SoC v11.4''}}
{{NewsBox|''[[Tools/Xilinx_ISE|Xilinx ISE]] [[Tools/Versions#14.7|14.7]]'' is now available on [[User:Guo|guo]]'s [ftp://fpga:fpga@153.109.5.248 Software Server]|2014-03-18|
IMPORTANT: As ISE enters it's ''sustaining phase of product life'' there will be '''no more major releases'''. However, updates and patches might still be released.
* 7 series and Zynq device and IP updates}}
{{NewsBox|New Heavyweight FPGA Champion: [http://www.xilinx.com/ Xilinx] [http://press.xilinx.com/2013-12-10-Xilinx-Doubles-Industrys-Highest-Capacity-Device-to-4-4M-Logic-Cells-Delivering-Density-Advantage-that-is-a-Full-Generation-Ahead announced] the new ''Virtex UltraScale All Programmable devices''|2013-12-11|
This 3D IC contains three die (SLR) to achieve:
* 4.4M logic cells (approx. 50M ASIC gates)
* 88.6 Mbits BRAM
* 2880 DSP48 slices (4268 GMACs/sec)
* hard-IPs: 6 x PCIe, 3 x 100G Ethernet MAC, 48 x 16.3 Gbps transceivers
* 1456 I/O Pins
}}
{{NewsBox|In need of a Qt Library? Like to publish one? Check out [http://inqlude.org/ #in''q''lude]!|2013-11-29|
Inqlude is meant to be the place where you find all information and pointers to Qt libraries, components or modules. There's the webpage, a format for describing Q-based libraries and a command line client to install libraries. It's all still in alpha phase, but certainly worth a look. For more and up-to-date information follow this [http://bit.ly/1b82cY7 link].}}
{{NewsBox|''[[Tools/Xilinx_ISE|Xilinx ISE]] [[Tools/Versions#14.6|14.6]]'' is now available on [[User:Guo|guo]]'s [ftp://fpga:fpga@153.109.5.248 Software Server]|2013-07-18|
* IP updates
* further Device Support for Zynq-7000 and Defenense-Grade Zynq-7000Q, Artix-7Q, Virtex-7Q}}
{{NewsBox|IGLOO for the masses: [http://www.microsemi.com/ Microsemi] [http://bit.ly/1bUCQy5 announced] the new ''[http://www.microsemi.com/fpga-soc/fpga/igloo2-fpga IGLOO2 FPGA]''|2013-06-19|
Like the old IGLOOs, it's based on the non-volatile Flash technology, with it's advantages of independence from external configuration devices, lower power (flash freeze), higher radiation immunity and security. Up until now, Flash based devices have been rather small. But with IGLOO2, Microsemi is now in direct competition with the other important FPGA manufacturers. IGLOO2 has
* 6-150 kLUTs (like Xilinx Artix-7 or Spartan6)
* up to 16 5G SerDes (competitors: &lt;10)
* max. 574 User IOs (like Spartan6, more than Artix-7)
* 700-5000 kBits RAM
* up to 2 DDR controllers and 4 PCIe endpoints
The M2GL050 is already shipping and starts at less than $7USD for high volume orders.
}}
{{NewsBox|''[http://osvvm.org/ OSVVM] release 2013.05 is now available on [[User:Guo|guo]]'s [ftp://fpga:fpga@153.109.5.248 Software Server]|2013-05-31|
* adds large vector randomization
*  has a work around for some Aldec issues}}
{{NewsBox|The ''IEEE Std 1800-2012'' a.k.a. ''SystemVerilog'' is available for [http://standards.ieee.org/getieee/1800/download/1800-2012.pdf download]||
The '''31 new features''', '''60 clarifications''' and '''71 corrections''' of the standard include:
* Multiple inheritance !
* ''Soft'' constraints
* Uniqueness constraints
* A different global clock can be defined for each hierarchy scope
More infos [http://www.sutherland-hdl.com/papers/2012-DVCon_SystemVerilog-2012_presentation.pdf here]}}
{{NewsBox|UIT Wiki Presentation||
The presentation slides can be downloaded [[Media:Presentation_en_UITWiki.pdf‎‎|here]].}}
{{NewsBox|''UVM 1.1b''||This bugfix release is available for download now.}}</rev>
        </revisions>
      </page>
    </pages>
  </query>
</api>