Help:Contents

(Difference between revisions)
Jump to: navigation, search
Line 1: Line 1:
  
 
== Math ==
 
== Math ==
To write a math formula in TeX, click on the math button at the top of the article edition
+
To write a math formula in TeX, click on the math button at the top of the article edition, or write your formula beetween <nowiki> <math> and </math> </nowiki> tags.
 +
 
 
<math>
 
<math>
  \operatorname{erfc}(x) =
+
  \operatorname{erfc}(x) =
  \frac{2}{\sqrt{\pi}} \int_x^{\infty} e^{-t^2}\,dt =
+
  \frac{2}{\sqrt{\pi}} \int_x^{\infty} e^{-t^2}\,dt =
  \frac{e^{-x^2}}{x\sqrt{\pi}}\sum_{n=0}^\infty (-1)^n \frac{(2n)!}{n!(2x)^{2n}}
+
  \frac{e^{-x^2}}{x\sqrt{\pi}}\sum_{n=0}^\infty (-1)^n \frac{(2n)!}{n!(2x)^{2n}}
</math>
+
</math>
 
+
== Article Comment ==
+
To allow comments in a page, at the end of the article,click on the comment button at the top of the article edition
+
  
 
== Syntax Highlighting Geshi ==
 
== Syntax Highlighting Geshi ==
  
To highlight a code in any language, click on the SyntaxHighlighting button at the top of the article, write your code beetween the </source> tags. To select a language, you must to enter your language in the parameter lang of the tag.
+
To highlight a code in any language, click on the SyntaxHighlighting button at the top of the article, write your code beetween the <nowiki><source> </source></nowiki> tags and select a language entering the language name in the lang parameter in the tag <nowiki><source lang="VHDL"> </source></nowiki>
 
  <source lang="VHDL">
 
  <source lang="VHDL">
 
-- (this is a VHDL comment)
 
-- (this is a VHDL comment)
Line 34: Line 32:
 
end architecture RTL;
 
end architecture RTL;
 
</source>
 
</source>
 +
'''List of avalaible language :''' ABAP, Actionscript, ADA, Apache Log, AppleScript, APT sources.list, ASM (m68k), ASM (pic16), ASM (x86), ASM (z80), ASP, AutoIT, Backus-Naur form, Bash, Basic4GL, BlitzBasic, Brainfuck, C, C for Macs, C#, C++, C++ (with QT), CAD DCL, CadLisp, CFDG, CIL / MSIL, COBOL, ColdFusion, CSS, D, Delphi, Diff File Format, DIV, DOS, DOT language, Eiffel, Fortran, FourJ's Genero, FreeBasic, GetText, glSlang, GML, gnuplot, Groovy, Haskell, HQ9+, HTML, INI (Config Files), Inno, INTERCAL, IO, Java, Java 5, Javascript, KiXtart, KLone C & C++, LaTeX, Lisp, LOLcode, LotusScript, LScript, Lua, Make, mIRC, MXML, MySQL, NSIS, Objective C, OCaml, OpenOffice BASIC, Oracle 8 & 11 SQL, Pascal, Perl, PHP, Pixel Bender, PL/SQL, POV-Ray, PowerShell, Progress (OpenEdge ABL), Prolog, ProvideX, Python, Q(uick)BASIC, robots.txt, Ruby, Ruby on Rails, SAS, Scala, Scheme, Scilab, SDLBasic, Smalltalk, Smarty, SQL, T-SQL, TCL, thinBasic, TypoScript, Uno IDL, VB.NET, Verilog, VHDL, VIM Script, Visual BASIC, Visual Fox Pro, Visual Prolog, Whitespace, Winbatch, Windows Registry Files, X++, XML, Xorg.conf
 
== Rss Feed ==
 
== Rss Feed ==
  
  
 
== File & Picture, Upload & Download ==
 
== File & Picture, Upload & Download ==
 +
To upload a file click on the "upload file" the button that is located at the left panel. Your file must be thiner than 2mb and the extension must be authorised.
 +
 +
== Article Comment ==
 +
To allow comments in a page, at the end of the article,click on the comment button at the top of the article edition or write "<nowiki><comments ></nowiki>" at the end of the article

Revision as of 15:56, 6 February 2012

Contents

Math

To write a math formula in TeX, click on the math button at the top of the article edition, or write your formula beetween <math> and </math> tags.


   \operatorname{erfc}(x) =
   \frac{2}{\sqrt{\pi}} \int_x^{\infty} e^{-t^2}\,dt =
   \frac{e^{-x^2}}{x\sqrt{\pi}}\sum_{n=0}^\infty (-1)^n \frac{(2n)!}{n!(2x)^{2n}}

Syntax Highlighting Geshi

To highlight a code in any language, click on the SyntaxHighlighting button at the top of the article, write your code beetween the <source> </source> tags and select a language entering the language name in the lang parameter in the tag <source lang="VHDL"> </source>

-- (this is a VHDL comment)
 
-- import std_logic from the IEEE library
library IEEE;
use IEEE.std_logic_1164.all;
 
-- this is the entity
entity ANDGATE is
  port ( 
    I1 : in std_logic;
    I2 : in std_logic;
    O  : out std_logic);
end entity ANDGATE;
 
architecture RTL of ANDGATE is
begin
  O <= I1 and I2;
end architecture RTL;

List of avalaible language : ABAP, Actionscript, ADA, Apache Log, AppleScript, APT sources.list, ASM (m68k), ASM (pic16), ASM (x86), ASM (z80), ASP, AutoIT, Backus-Naur form, Bash, Basic4GL, BlitzBasic, Brainfuck, C, C for Macs, C#, C++, C++ (with QT), CAD DCL, CadLisp, CFDG, CIL / MSIL, COBOL, ColdFusion, CSS, D, Delphi, Diff File Format, DIV, DOS, DOT language, Eiffel, Fortran, FourJ's Genero, FreeBasic, GetText, glSlang, GML, gnuplot, Groovy, Haskell, HQ9+, HTML, INI (Config Files), Inno, INTERCAL, IO, Java, Java 5, Javascript, KiXtart, KLone C & C++, LaTeX, Lisp, LOLcode, LotusScript, LScript, Lua, Make, mIRC, MXML, MySQL, NSIS, Objective C, OCaml, OpenOffice BASIC, Oracle 8 & 11 SQL, Pascal, Perl, PHP, Pixel Bender, PL/SQL, POV-Ray, PowerShell, Progress (OpenEdge ABL), Prolog, ProvideX, Python, Q(uick)BASIC, robots.txt, Ruby, Ruby on Rails, SAS, Scala, Scheme, Scilab, SDLBasic, Smalltalk, Smarty, SQL, T-SQL, TCL, thinBasic, TypoScript, Uno IDL, VB.NET, Verilog, VHDL, VIM Script, Visual BASIC, Visual Fox Pro, Visual Prolog, Whitespace, Winbatch, Windows Registry Files, X++, XML, Xorg.conf

Rss Feed

File & Picture, Upload & Download

To upload a file click on the "upload file" the button that is located at the left panel. Your file must be thiner than 2mb and the extension must be authorised.

Article Comment

To allow comments in a page, at the end of the article,click on the comment button at the top of the article edition or write "<comments >" at the end of the article

Personal tools
Namespaces
Variants
Actions
Navigation
Browse
Toolbox