Help:Contents

From UIT
(Difference between revisions)
Jump to: navigation, search
(Created Templates)
 
(66 intermediate revisions by 9 users not shown)
Line 1: Line 1:
 +
{{TOC right}}
  
== Math ==
+
'''Need help for creating pages? You've come to the right place.'''
To write a math formula in TeX, click on the math button at the top of the article edition
+
<math>
+
  \operatorname{erfc}(x) =
+
  \frac{2}{\sqrt{\pi}} \int_x^{\infty} e^{-t^2}\,dt =
+
  \frac{e^{-x^2}}{x\sqrt{\pi}}\sum_{n=0}^\infty (-1)^n \frac{(2n)!}{n!(2x)^{2n}}
+
</math>
+
  
== Article Comment ==
+
== HES-SO Wiki Guidelines ==
To allow comments in a page, at the end of the article,click on the comment button at the top of the article edition
+
HES-SO has for this wiki some basic [[Help:Guidelines|Guidelines]] about the following themes:
 +
* [[Help:Guidelines#Page Names|Page Names]]
 +
* [[Help:Guidelines#Categories|Categories]]
 +
* [[Help:Guidelines#Navigation|Navigation]]
 +
* [[Help:Guidelines#Maintainer|Maintainer]]
  
== Syntax Highlighting Geshi ==
+
== Common used Syntax ==
 +
See this page for the most common used Syntax [[Help:Syntax|Shortguide for the Syntax]]
 +
* [[Help:Syntax#Access Control|Access Control]]
 +
* [[Help:Syntax#Formatting|Formatting]]
 +
* [[Help:Syntax#Tables|Tables]]
 +
* [[Help:Syntax#Links|Links]]
 +
* [[Help:Syntax#Images|Images / Files]]
 +
* [[Help:Syntax#Table of Content|Table of Content]]
 +
* [[Help:Syntax#Navigation|Navigation]]
 +
* [[Help:Syntax#Categories|Categories]]
 +
For more detailed help see also the [https://www.mediawiki.org/wiki/Help:Contents#Editing official MediaWiki Help page].
  
To highlight a code in any language, click on the SyntaxHighlighting button at the top of the article, write your code beetween the </source> tags. To select a language, you must to enter your language in the parameter lang of the tag.
+
== Extensions ==
<source lang="VHDL">
+
In this wiki you have several extensions available. See the [[Help:Extentions|Shortguide for Extensions]]
-- (this is a VHDL comment)
+
* [[Help:Extentions#Math|Math]]
+
* [[Help:Extentions#Syntax_Highlighting_Geshi|Syntax Highlighting Geshi]]
-- import std_logic from the IEEE library
+
* [[Help:Extentions#Subpagelist|Sub Page List]]
library IEEE;
+
* [[Help:Extentions#IssueTracker|Issue Tracker]]
use IEEE.std_logic_1164.all;
+
* [[Help:Extentions#Article_Comment|Article Comment]]
+
* [[Help:Extentions#File_.26_Picture.2C_Upload_.26_Download|File & Picture Upload]]
-- this is the entity
+
* [[Help:Extentions#RSS_Feed|RSS]]
entity ANDGATE is
+
  port (
+
    I1 : in std_logic;
+
    I2 : in std_logic;
+
    O  : out std_logic);
+
end entity ANDGATE;
+
+
architecture RTL of ANDGATE is
+
begin
+
  O <= I1 and I2;
+
end architecture RTL;
+
</source>
+
== Rss Feed ==
+
  
 +
== Novell User with write rights ==
 +
There are a number of Users which have or had write privileges. See the [[Special:ListUsers|User List page]].
  
== File & Picture, Upload & Download ==
+
== Special User ==
 +
* [[User:admin_uit|admin_uit]]
 +
* [[User:guest|guest]]
 +
 
 +
== Useful Special Wikipages ==
 +
* [[MediaWiki:Sidebar|MediaWiki Sidebar Links]]
 +
* [[MediaWiki:Geshi.css|Geshi Extentions CSS]]
 +
* [[Default_Page|Default Template Page]]
 +
 
 +
== Created Templates ==
 +
* [[special:Allpages/template:!|List of all templates]]
 +
 
 +
'''Often used Templates'''
 +
* [[Template:TOC_right|TOC right template]]
 +
* [[Template:private|Private template]]
 +
* [[Template:nav|Navigation template]]
 +
* [[Template:navNamed|Named Navigation template]]
 +
* [[Template:license|License]]
 +
 
 +
 
 +
[[Category:Help]]

Latest revision as of 16:28, 20 October 2016

Contents

Need help for creating pages? You've come to the right place.

HES-SO Wiki Guidelines

HES-SO has for this wiki some basic Guidelines about the following themes:

Common used Syntax

See this page for the most common used Syntax Shortguide for the Syntax

For more detailed help see also the official MediaWiki Help page.

Extensions

In this wiki you have several extensions available. See the Shortguide for Extensions

Novell User with write rights

There are a number of Users which have or had write privileges. See the User List page.

Special User

Useful Special Wikipages

Created Templates

Often used Templates

Personal tools
Namespaces
Variants
Actions
Navigation
Browse
Toolbox