Help:Contents

From UIT
(Difference between revisions)
Jump to: navigation, search
(Created Templates)
 
(65 intermediate revisions by 9 users not shown)
Line 1: Line 1:
 +
{{TOC right}}
  
== Math ==
+
'''Need help for creating pages? You've come to the right place.'''
To write a math formula in TeX, click on the math button at the top of the article edition, or write your formula beetween <nowiki> <math> and </math> </nowiki> tags.
+
  
<math>
+
== HES-SO Wiki Guidelines ==
  \operatorname{erfc}(x) =
+
HES-SO has for this wiki some basic [[Help:Guidelines|Guidelines]] about the following themes:
  \frac{2}{\sqrt{\pi}} \int_x^{\infty} e^{-t^2}\,dt =
+
* [[Help:Guidelines#Page Names|Page Names]]
  \frac{e^{-x^2}}{x\sqrt{\pi}}\sum_{n=0}^\infty (-1)^n \frac{(2n)!}{n!(2x)^{2n}}
+
* [[Help:Guidelines#Categories|Categories]]
</math>
+
* [[Help:Guidelines#Navigation|Navigation]]
 +
* [[Help:Guidelines#Maintainer|Maintainer]]
  
== Syntax Highlighting Geshi ==
+
== Common used Syntax ==
 +
See this page for the most common used Syntax [[Help:Syntax|Shortguide for the Syntax]]
 +
* [[Help:Syntax#Access Control|Access Control]]
 +
* [[Help:Syntax#Formatting|Formatting]]
 +
* [[Help:Syntax#Tables|Tables]]
 +
* [[Help:Syntax#Links|Links]]
 +
* [[Help:Syntax#Images|Images / Files]]
 +
* [[Help:Syntax#Table of Content|Table of Content]]
 +
* [[Help:Syntax#Navigation|Navigation]]
 +
* [[Help:Syntax#Categories|Categories]]
 +
For more detailed help see also the [https://www.mediawiki.org/wiki/Help:Contents#Editing official MediaWiki Help page].
  
To highlight a code in any language, click on the SyntaxHighlighting button at the top of the article, write your code beetween the <nowiki><source> </source></nowiki> tags and select a language entering the language name in the lang parameter in the tag <nowiki><source lang="VHDL"> </source></nowiki>
+
== Extensions ==
<source lang="VHDL">
+
In this wiki you have several extensions available. See the [[Help:Extentions|Shortguide for Extensions]]
-- (this is a VHDL comment)
+
* [[Help:Extentions#Math|Math]]
+
* [[Help:Extentions#Syntax_Highlighting_Geshi|Syntax Highlighting Geshi]]
-- import std_logic from the IEEE library
+
* [[Help:Extentions#Subpagelist|Sub Page List]]
library IEEE;
+
* [[Help:Extentions#IssueTracker|Issue Tracker]]
use IEEE.std_logic_1164.all;
+
* [[Help:Extentions#Article_Comment|Article Comment]]
+
* [[Help:Extentions#File_.26_Picture.2C_Upload_.26_Download|File & Picture Upload]]
-- this is the entity
+
* [[Help:Extentions#RSS_Feed|RSS]]
entity ANDGATE is
+
  port (
+
    I1 : in std_logic;
+
    I2 : in std_logic;
+
    O  : out std_logic);
+
end entity ANDGATE;
+
+
architecture RTL of ANDGATE is
+
begin
+
  O <= I1 and I2;
+
end architecture RTL;
+
</source>
+
'''List of avalaible language :''' ABAP, Actionscript, ADA, Apache Log, AppleScript, APT sources.list, ASM (m68k), ASM (pic16), ASM (x86), ASM (z80), ASP, AutoIT, Backus-Naur form, Bash, Basic4GL, BlitzBasic, Brainfuck, C, C for Macs, C#, C++, C++ (with QT), CAD DCL, CadLisp, CFDG, CIL / MSIL, COBOL, ColdFusion, CSS, D, Delphi, Diff File Format, DIV, DOS, DOT language, Eiffel, Fortran, FourJ's Genero, FreeBasic, GetText, glSlang, GML, gnuplot, Groovy, Haskell, HQ9+, HTML, INI (Config Files), Inno, INTERCAL, IO, Java, Java 5, Javascript, KiXtart, KLone C & C++, LaTeX, Lisp, LOLcode, LotusScript, LScript, Lua, Make, mIRC, MXML, MySQL, NSIS, Objective C, OCaml, OpenOffice BASIC, Oracle 8 & 11 SQL, Pascal, Perl, PHP, Pixel Bender, PL/SQL, POV-Ray, PowerShell, Progress (OpenEdge ABL), Prolog, ProvideX, Python, Q(uick)BASIC, robots.txt, Ruby, Ruby on Rails, SAS, Scala, Scheme, Scilab, SDLBasic, Smalltalk, Smarty, SQL, T-SQL, TCL, thinBasic, TypoScript, Uno IDL, VB.NET, Verilog, VHDL, VIM Script, Visual BASIC, Visual Fox Pro, Visual Prolog, Whitespace, Winbatch, Windows Registry Files, X++, XML, Xorg.conf
+
== Rss Feed ==
+
  
 +
== Novell User with write rights ==
 +
There are a number of Users which have or had write privileges. See the [[Special:ListUsers|User List page]].
  
== File & Picture, Upload & Download ==
+
== Special User ==
To upload a file click on the "upload file" the button that is located at the left panel. Your file must be thiner than 2mb and the extension must be authorised.
+
* [[User:admin_uit|admin_uit]]
 +
* [[User:guest|guest]]
  
== Article Comment ==
+
== Useful Special Wikipages ==
To allow comments in a page, at the end of the article,click on the comment button at the top of the article edition or write "<nowiki><comments ></nowiki>" at the end of the article
+
* [[MediaWiki:Sidebar|MediaWiki Sidebar Links]]
 +
* [[MediaWiki:Geshi.css|Geshi Extentions CSS]]
 +
* [[Default_Page|Default Template Page]]
 +
 
 +
== Created Templates ==
 +
* [[special:Allpages/template:!|List of all templates]]
 +
 
 +
'''Often used Templates'''
 +
* [[Template:TOC_right|TOC right template]]
 +
* [[Template:private|Private template]]
 +
* [[Template:nav|Navigation template]]
 +
* [[Template:navNamed|Named Navigation template]]
 +
* [[Template:license|License]]
 +
 
 +
 
 +
[[Category:Help]]

Latest revision as of 15:28, 20 October 2016

Contents

Need help for creating pages? You've come to the right place.

HES-SO Wiki Guidelines

HES-SO has for this wiki some basic Guidelines about the following themes:

Common used Syntax

See this page for the most common used Syntax Shortguide for the Syntax

For more detailed help see also the official MediaWiki Help page.

Extensions

In this wiki you have several extensions available. See the Shortguide for Extensions

Novell User with write rights

There are a number of Users which have or had write privileges. See the User List page.

Special User

Useful Special Wikipages

Created Templates

Often used Templates

Personal tools
Namespaces
Variants
Actions
Navigation
Browse
Toolbox