Help:Contents

From UIT
(Difference between revisions)
Jump to: navigation, search
(File & Picture, Upload & Download)
(Created Templates)
 
(63 intermediate revisions by 9 users not shown)
Line 1: Line 1:
Help to use the extensions of this wiki.
+
{{TOC right}}
== Math ==
+
To write a math formula in TeX, click on the math button at the top of the article edition, or write your formula beetween <nowiki> <math> and </math> </nowiki> tags.
+
<pre>
+
<math>
+
  \operatorname{erfc}(x) =
+
  \frac{2}{\sqrt{\pi}} \int_x^{\infty} e^{-t^2}\,dt =
+
  \frac{e^{-x^2}}{x\sqrt{\pi}}\sum_{n=0}^\infty (-1)^n \frac{(2n)!}{n!(2x)^{2n}}
+
</math>
+
</pre>
+
  
<math>
+
'''Need help for creating pages? You've come to the right place.'''
  \operatorname{erfc}(x) =
+
  \frac{2}{\sqrt{\pi}} \int_x^{\infty} e^{-t^2}\,dt =
+
  \frac{e^{-x^2}}{x\sqrt{\pi}}\sum_{n=0}^\infty (-1)^n \frac{(2n)!}{n!(2x)^{2n}}
+
</math>
+
  
== Syntax Highlighting Geshi ==
+
== HES-SO Wiki Guidelines ==
 +
HES-SO has for this wiki some basic [[Help:Guidelines|Guidelines]] about the following themes:
 +
* [[Help:Guidelines#Page Names|Page Names]]
 +
* [[Help:Guidelines#Categories|Categories]]
 +
* [[Help:Guidelines#Navigation|Navigation]]
 +
* [[Help:Guidelines#Maintainer|Maintainer]]
  
To highlight a code in any language, click on the SyntaxHighlighting button at the top of the article, write your code beetween the <nowiki><source> </source></nowiki> tags and select a language entering the language name in the lang parameter in the tag <nowiki><source lang="VHDL"> </source></nowiki>
+
== Common used Syntax ==
<pre>
+
See this page for the most common used Syntax [[Help:Syntax|Shortguide for the Syntax]]
<source lang="VHDL">
+
* [[Help:Syntax#Access Control|Access Control]]
-- (this is a VHDL comment)
+
* [[Help:Syntax#Formatting|Formatting]]
+
* [[Help:Syntax#Tables|Tables]]
-- import std_logic from the IEEE library
+
* [[Help:Syntax#Links|Links]]
library IEEE;
+
* [[Help:Syntax#Images|Images / Files]]
use IEEE.std_logic_1164.all;
+
* [[Help:Syntax#Table of Content|Table of Content]]
+
* [[Help:Syntax#Navigation|Navigation]]
-- this is the entity
+
* [[Help:Syntax#Categories|Categories]]
entity ANDGATE is
+
For more detailed help see also the [https://www.mediawiki.org/wiki/Help:Contents#Editing official MediaWiki Help page].
  port (
+
    I1 : in std_logic;
+
    I2 : in std_logic;
+
    O  : out std_logic);
+
end entity ANDGATE;
+
+
architecture RTL of ANDGATE is
+
begin
+
  O <= I1 and I2;
+
end architecture RTL;
+
</source>
+
</pre>
+
<source lang="VHDL">
+
-- (this is a VHDL comment)
+
+
-- import std_logic from the IEEE library
+
library IEEE;
+
use IEEE.std_logic_1164.all;
+
+
-- this is the entity
+
entity ANDGATE is
+
  port (
+
    I1 : in std_logic;
+
    I2 : in std_logic;
+
    O  : out std_logic);
+
end entity ANDGATE;
+
+
architecture RTL of ANDGATE is
+
begin
+
  O <= I1 and I2;
+
end architecture RTL;
+
</source>
+
'''List of avalaible language :''' ABAP, Actionscript, ADA, Apache Log, AppleScript, APT sources.list, ASM (m68k), ASM (pic16), ASM (x86), ASM (z80), ASP, AutoIT, Backus-Naur form, Bash, Basic4GL, BlitzBasic, Brainfuck, C, C for Macs, C#, C++, C++ (with QT), CAD DCL, CadLisp, CFDG, CIL / MSIL, COBOL, ColdFusion, CSS, D, Delphi, Diff File Format, DIV, DOS, DOT language, Eiffel, Fortran, FourJ's Genero, FreeBasic, GetText, glSlang, GML, gnuplot, Groovy, Haskell, HQ9+, HTML, INI (Config Files), Inno, INTERCAL, IO, Java, Java 5, Javascript, KiXtart, KLone C & C++, LaTeX, Lisp, LOLcode, LotusScript, LScript, Lua, Make, mIRC, MXML, MySQL, NSIS, Objective C, OCaml, OpenOffice BASIC, Oracle 8 & 11 SQL, Pascal, Perl, PHP, Pixel Bender, PL/SQL, POV-Ray, PowerShell, Progress (OpenEdge ABL), Prolog, ProvideX, Python, Q(uick)BASIC, robots.txt, Ruby, Ruby on Rails, SAS, Scala, Scheme, Scilab, SDLBasic, Smalltalk, Smarty, SQL, T-SQL, TCL, thinBasic, TypoScript, Uno IDL, VB.NET, Verilog, VHDL, VIM Script, Visual BASIC, Visual Fox Pro, Visual Prolog, Whitespace, Winbatch, Windows Registry Files, X++, XML, Xorg.conf
+
== Rss Feed ==
+
  
== IssueTracker ==
+
== Extensions ==
 +
In this wiki you have several extensions available. See the [[Help:Extentions|Shortguide for Extensions]]
 +
* [[Help:Extentions#Math|Math]]
 +
* [[Help:Extentions#Syntax_Highlighting_Geshi|Syntax Highlighting Geshi]]
 +
* [[Help:Extentions#Subpagelist|Sub Page List]]
 +
* [[Help:Extentions#IssueTracker|Issue Tracker]]
 +
* [[Help:Extentions#Article_Comment|Article Comment]]
 +
* [[Help:Extentions#File_.26_Picture.2C_Upload_.26_Download|File & Picture Upload]]
 +
* [[Help:Extentions#RSS_Feed|RSS]]
  
The ''IssueTracker'' extension provides a custom tag, <nowiki> <issues /> </nowiki>, that allows the inclusion of an issue tracker in any wiki page. It's possible to customize almost every aspect of the extension, such as permissions, user groups, colours, titles, and templates. It supports searching and several types of filtering.  
+
== Novell User with write rights ==
 +
There are a number of Users which have or had write privileges. See the [[Special:ListUsers|User List page]].
  
To embed an issue tracker into a page, use the <nowiki> <issues /> </nowiki>tag:
+
== Special User ==
<pre><issues /></pre>
+
* [[User:admin_uit|admin_uit]]
 +
* [[User:guest|guest]]
  
If a project name is not provided, the extension will use the page namespace as the default project name. You can create multiple issue trackers by providing different project names, for example:
+
== Useful Special Wikipages ==
 +
* [[MediaWiki:Sidebar|MediaWiki Sidebar Links]]
 +
* [[MediaWiki:Geshi.css|Geshi Extentions CSS]]
 +
* [[Default_Page|Default Template Page]]
  
<pre><issues project="My Project" /></pre>
+
== Created Templates ==
 +
* [[special:Allpages/template:!|List of all templates]]
  
To hide the filter and search forms you can set the search and filter options to false:
+
'''Often used Templates'''
 +
* [[Template:TOC_right|TOC right template]]
 +
* [[Template:private|Private template]]
 +
* [[Template:nav|Navigation template]]
 +
* [[Template:navNamed|Named Navigation template]]
 +
* [[Template:license|License]]
  
<pre><issues project="My Project" search="false" filter="false" /></pre>
 
  
By default, users must be logged in to add, edit or achieve issues. If you want to allow anonymous issue management, set the authenticate option to false:
+
[[Category:Help]]
 
+
<pre><issues project="My Project" authenticate="false" /></pre>
+
 
+
====Options====
+
 
+
* '''project''' = String : The project name (default: page namespace).
+
* '''authenticate''' = Boolean : User authentication (default: true).
+
* '''search''' = Boolean : Display search form (default: true).
+
* '''filter''' = Boolean : Display filter form (default: true).
+
 
+
 
+
== File & Picture, Upload & Download ==
+
To upload a file click on the "upload file[[[http://wiki.hevs.ch/eda/index.php5/Special:Upload]]]" the button that is located at the left panel. Your file must be thiner than 2mb and the extension must be authorised.
+
 
+
== Article Comment ==
+
To allow comments in a page, at the end of the article,click on the comment button at the top of the article edition or write "<nowiki><comments ></nowiki>" at the end of the article
+
<pre> <comments > </pre>
+
<comments >
+

Latest revision as of 15:28, 20 October 2016

Contents

Need help for creating pages? You've come to the right place.

HES-SO Wiki Guidelines

HES-SO has for this wiki some basic Guidelines about the following themes:

Common used Syntax

See this page for the most common used Syntax Shortguide for the Syntax

For more detailed help see also the official MediaWiki Help page.

Extensions

In this wiki you have several extensions available. See the Shortguide for Extensions

Novell User with write rights

There are a number of Users which have or had write privileges. See the User List page.

Special User

Useful Special Wikipages

Created Templates

Often used Templates

Personal tools
Namespaces
Variants
Actions
Navigation
Browse
Toolbox