Help:Contents
From UIT
Contents |
Math
To write a math formula in TeX, click on the math button at the top of the article edition
Article Comment
To allow comments in a page, at the end of the article,click on the comment button at the top of the article edition
Syntax Highlighting Geshi
To highlight a code in any language, click on the SyntaxHighlighting button at the top of the article, write your code beetween the </source> tags. To select a language, you must to enter your language in the parameter lang of the tag.
-- (this is a VHDL comment) -- import std_logic from the IEEE library library IEEE; use IEEE.std_logic_1164.all; -- this is the entity entity ANDGATE is port ( I1 : in std_logic; I2 : in std_logic; O : out std_logic); end entity ANDGATE; architecture RTL of ANDGATE is begin O <= I1 and I2; end architecture RTL;