Languages/VHDL/Libraries

From UIT
(Difference between revisions)
Jump to: navigation, search
 
Line 1: Line 1:
<accesscontrol>Administrators,,wikieda</accesscontrol>
+
 
 
{{TOC right}}
 
{{TOC right}}
 
=Default Package References=
 
=Default Package References=

Latest revision as of 10:12, 30 August 2012

Contents

Default Package References

LIBRARY ieee;
  USE ieee.std_logic_1164.ALL;
  USE ieee.numeric_std.ALL;
Never use
USE ieee.numeric_std.ALL;
and
USE ieee.std_logic_arith.ALL;
together.
Personal tools
Namespaces
Variants
Actions
Navigation
Browse
Toolbox