Languages/VHDL/Libraries

From UIT
Revision as of 09:12, 30 August 2012 by Admin uit (Talk | contribs)
(diff) ← Older revision | Latest revision (diff) | Newer revision → (diff)
Jump to: navigation, search

Contents

Default Package References

LIBRARY ieee;
  USE ieee.std_logic_1164.ALL;
  USE ieee.numeric_std.ALL;
Never use
USE ieee.numeric_std.ALL;
and
USE ieee.std_logic_arith.ALL;
together.
Personal tools
Namespaces
Variants
Actions
Navigation
Browse
Toolbox