Languages/VHDL/Libraries

From UIT
Jump to: navigation, search

Contents

Default Package References

LIBRARY ieee;
  USE ieee.std_logic_1164.ALL;
  USE ieee.numeric_std.ALL;
Never use
USE ieee.numeric_std.ALL;
and
USE ieee.std_logic_arith.ALL;
together.
Personal tools
Namespaces
Variants
Actions
Navigation
Browse
Toolbox