Main Page

(Difference between revisions)
Jump to: navigation, search
(Welcome to the HES-SO Valais Wallis Wiki of the Infotronics Unit)
(News)
Line 4: Line 4:
  
 
== News ==
 
== News ==
 +
[http://www.microsemi.com/ Microsemi] [http://investor.microsemi.com/releasedetail.cfm?ReleaseID=711708 revealed] the new '''[http://www.actel.com/fpga/SmartFusion2/ SmartFusion®2]''' SoC with:
 +
* 5K - 120K LUTs,  190k - 4.5Mbit RAM, 11 -240 Math (DSP) blocks
 +
* '''166 MHz ARM® Cortex™-M3''' microprocessor with 8Kbyte Instruction Cache
 +
** connects to  USB 2.0 HS OTG, CAN, SPI, I2C and Gigabit Ethernet
 +
* static power '''10mW''' during operation on the 50K LUT device
 +
* 16x 5Gbps SERDES, PCIe, XAUI / XGXS+ Native SERDES
 +
* Hard 800 mbps DDR2/3 controllers with SECDED (aka ECC or EDAC) protection
 +
* samples available now, first production silicon slated for early 2013
 +
----
 
'''[[Tools/Synopsys_Synplify|Synplify]] [[Tools/Versions#2012.09|2012.9]]''' is now available on  [[User:Guo|guo]]'s [ftp://fpga:fpga@153.109.5.248 Software Server] including:
 
'''[[Tools/Synopsys_Synplify|Synplify]] [[Tools/Versions#2012.09|2012.9]]''' is now available on  [[User:Guo|guo]]'s [ftp://fpga:fpga@153.109.5.248 Software Server] including:
 
* Xilinx Vivado PAR support
 
* Xilinx Vivado PAR support
Line 13: Line 22:
 
* Built-in OpenGL (ES) support.
 
* Built-in OpenGL (ES) support.
 
* Easy porting from Qt 4.
 
* Easy porting from Qt 4.
----
 
'''Qt''' has been bought by Digia from Nokia
 
* [http://www.digia.com/en/Qt/About-us/News/Digia-to-Acquire-Qt-from-Nokia/ Press Release]
 
* [http://news.cnet.com/8301-1035_3-57489833-94/nokia-dumps-qt-unit-on-digia/ CNET]
 
* [http://www.digia.com/en/Qt/ Qt page on Digia]
 
 
----
 
----
 
'''Model-/QuestaSim 10.1c''' have been released with:
 
'''Model-/QuestaSim 10.1c''' have been released with:
Line 35: Line 39:
 
* new license format
 
* new license format
 
Note: Please contact [[User:Guo|Guo]] if you like to use this version!
 
Note: Please contact [[User:Guo|Guo]] if you like to use this version!
----
 
The '''UIT''' wiki serves as information hub for the whole unit.
 
 
----
 
----
 
A bugfix release of '''UVM 1.1b''' is available for download now.
 
A bugfix release of '''UVM 1.1b''' is available for download now.
----
 
'''Model-/QuestaSim 6.6g''' has been released with:
 
* Improved mixed language (SV/VHDL) support
 
* Optimizations and performance enhancements
 
* Oscillation/0-delay loop identification and debug
 
  
 
== Content ==
 
== Content ==

Revision as of 07:51, 19 October 2012

Contents

Welcome to the HES-SO Valais Wallis Wiki of the Infotronics Unit

This is the knowledge database of the HES-SO Valais Wallis Institute of Systems Engineering Infotronics Unit. It's the place to share experiences, findings, how-to's and everything else about HDL, Telecom, Embedded Systems and related topics.

News

Microsemi revealed the new SmartFusion®2 SoC with:

  • 5K - 120K LUTs, 190k - 4.5Mbit RAM, 11 -240 Math (DSP) blocks
  • 166 MHz ARM® Cortex™-M3 microprocessor with 8Kbyte Instruction Cache
    • connects to USB 2.0 HS OTG, CAN, SPI, I2C and Gigabit Ethernet
  • static power 10mW during operation on the 50K LUT device
  • 16x 5Gbps SERDES, PCIe, XAUI / XGXS+ Native SERDES
  • Hard 800 mbps DDR2/3 controllers with SECDED (aka ECC or EDAC) protection
  • samples available now, first production silicon slated for early 2013

Synplify 2012.9 is now available on guo's Software Server including:

  • Xilinx Vivado PAR support
  • new Timing Report View
  • compiler enhancements (SV, VHDL2008, ...)

Qt 5 Beta has been released with focus on:

  • Faster GUI with QML and QtQuick with special focus on low cost HW environments (mobile, embedded).
  • Built-in OpenGL (ES) support.
  • Easy porting from Qt 4.

Model-/QuestaSim 10.1c have been released with:

  • Further support for VHDL 2008 (enhanced generics, unconstrained arrays).
  • Improved debugging of SystemVerilog and UVM.
  • Minor GUI improvements.

Xilinx ISE 14.2 has been released together with the first official release of the new Xilinx Vivado Design Suite 2012.2.

For ISE there are some minor MicroBlaze updates, increased device support (especially Zynq-7000 EPP), further AXI support and other various updates. For the full list get the Release Notes Guide.

Vivado is a new IP and system-centric IDE in the Design Edition and an added focus on HLS in the System Edition.


HDL-Designer 2012.1 has been released with:

  • several bugfixes
  • new license format

Note: Please contact Guo if you like to use this version!


A bugfix release of UVM 1.1b is available for download now.

Content

Stay Up-to-date

Sign up to our RSS Feed -> UIT Wiki RSS Feed

Getting started

Personal tools
Namespaces
Variants
Actions
Navigation
Browse
Toolbox