Main Page

(Difference between revisions)
Jump to: navigation, search
(News)
(News)
Line 15: Line 15:
  
 
= News =
 
= News =
 +
{{NewsBox|[http://qt-project.org/downloads#qt-creator ''Qt Creator 2.8.0''] has been released|2013-07-15|
 +
* Extra editor windows: ''Window -> Open in New Window'' or ''Split -> Open in New Window'' (very useful for us dual-screeners)
 +
* Progress information moved to the bottom-right corner (IMHO not really an improvement :( )
 +
* Specific Python editor (can't wait to try it out)
 +
* More C++ refactoring actions
 +
* Many new Git features
 +
}}
 
{{NewsBox|IGLOO for the masses: [http://www.microsemi.com/ Microsemi] [http://bit.ly/1bUCQy5 announced] the new ''[http://www.microsemi.com/fpga-soc/fpga/igloo2-fpga IGLOO2 FPGA]''|2013-06-19|
 
{{NewsBox|IGLOO for the masses: [http://www.microsemi.com/ Microsemi] [http://bit.ly/1bUCQy5 announced] the new ''[http://www.microsemi.com/fpga-soc/fpga/igloo2-fpga IGLOO2 FPGA]''|2013-06-19|
 
Like the old IGLOOs, it's based on the non-volatile Flash technology, with it's advantages of independence from external configuration devices, lower power (flash freeze), higher radiation immunity and security. Up until now, Flash based devices have been rather small. But with IGLOO2, Microsemi is now in direct competition with the other important FPGA manufacturers. IGLOO2 has
 
Like the old IGLOOs, it's based on the non-volatile Flash technology, with it's advantages of independence from external configuration devices, lower power (flash freeze), higher radiation immunity and security. Up until now, Flash based devices have been rather small. But with IGLOO2, Microsemi is now in direct competition with the other important FPGA manufacturers. IGLOO2 has
Line 67: Line 74:
 
{{NewsBox|UIT Wiki Presentation||
 
{{NewsBox|UIT Wiki Presentation||
 
The presentation slides can be downloaded [[Media:Presentation_en_UITWiki.pdf‎‎|here]].}}
 
The presentation slides can be downloaded [[Media:Presentation_en_UITWiki.pdf‎‎|here]].}}
{{NewsBox|After 7 years of development, [http://qt-project.org/qt5 ''Qt 5.0''], centered around Qt Quick with the full capabilities of OpenGL/OpenGL ES, has been released.||
 
* Faster GUI with ''QML'' and ''QtQuick'' with special focus on low cost HW environments (mobile, embedded).
 
* Built-in ''OpenGL (ES)'' support
 
* ''Webkit'' and ''HTML5''}}
 
 
{{NewsBox|''UVM 1.1b''||This bugfix release is available for download now.}}
 
{{NewsBox|''UVM 1.1b''||This bugfix release is available for download now.}}

Revision as of 11:05, 15 July 2013

Welcome to the HES-SO Valais Wallis Wiki of the Infotronics Unit

Contents

HESSO Valais Wallis Logo
This is the knowledge database of the HES-SO Valais Wallis Institute of Systems Engineering Infotronics Unit. It's the place to share experiences, findings, how-to's and everything else about HDL, Telecom, Embedded Systems and related topics.

Find more information about our educational program in the FSI Wiki.

link=Help:http://wiki.hevs.ch/uit/index.php?title=Special:RecentChanges&feed=rss Get informed about all changes to this wiki by signing up to this RSS feed

Getting started

Use the Navigation to the left to enter the different sections or follow any of the following links:

News

2013-07-15

Qt Creator 2.8.0 has been released

  • Extra editor windows: Window -> Open in New Window or Split -> Open in New Window (very useful for us dual-screeners)
  • Progress information moved to the bottom-right corner (IMHO not really an improvement :( )
  • Specific Python editor (can't wait to try it out)
  • More C++ refactoring actions
  • Many new Git features

2013-06-19

IGLOO for the masses: Microsemi announced the new IGLOO2 FPGA

Like the old IGLOOs, it's based on the non-volatile Flash technology, with it's advantages of independence from external configuration devices, lower power (flash freeze), higher radiation immunity and security. Up until now, Flash based devices have been rather small. But with IGLOO2, Microsemi is now in direct competition with the other important FPGA manufacturers. IGLOO2 has

  • 6-150 kLUTs (like Xilinx Artix-7 or Spartan6)
  • up to 16 5G SerDes (competitors: <10)
  • max. 574 User IOs (like Spartan6, more than Artix-7)
  • 700-5000 kBits RAM
  • up to 2 DDR controllers and 4 PCIe endpoints

The M2GL050 is already shipping and starts at less than $7USD for high volume orders.

2013-06-11

Python(x,y) 2.7.5.0 is now available on guo's Software Server

  • plugin updates (Spyder 2.2.0, Numpy, Scipy, PyQt ...)
  • a dozen new plugins
  • improved image manupulation

2013-05-31

OSVVM release 2013.05 is now available on guo's Software Server

  • adds large vector randomization
  • has a work around for some Aldec issues

2013-05-27

Microsemi Libero SoC 11.0 is now available on guo's Software Server

  • Supported Families: SmartFusion2, SmartFusion, Fusion, ProASIC3, ProASIC3E, ProASIC3L, IGLOO, IGLOOe, IGLOO+

2013-05-27

Model-/QuestaSim 10.2b is now available on guo's Software Server

  • Multiple performance improvements
  • New compact library format; Wave Window improvements
  • VHDL 2008 support improvements; Inclusion of VHDL-2008 OSVVM libraries
  • Questa only: New UVM, VM features; enhanced Power Aware Simulation

2013-05-24

HDL-Designer 2012.2 is now available on guo's Software Server

  • New Supported/ Enhanced VHDL 2008 Constructs
  • Task / Flow Updates
  • Block Diagram and IBD Enhancements

2013-04-24

Xilinx ISE 14.5 is now available on guo's Software Server

  • IP updates
  • further Device Support for Virtex-7, Zynq-7000 and Defenense-Grade Kintex-7Q, Virtex-7Q

Model-/QuestaSim 10.0f is now available on guo's Software Server

This update brings following new features to the 10.0 release:

  • Improved GUI performance – Improved structure window and objects window
  • VHDL Improvements - Support for significant portions of VHDL 2008 and Preservation

of user case in identifiers

  • New advanced debug features including schematic view debug and automatic causality

tracing

  • Improved WLF debugging and new Code Coverage Analysis Pane

Synplify 2013.3 is now available on guo's Software Server

  • Improved Altera and Xilinx Vivado support
  • New Microsemi SmartFusion2 Device
  • Expanded SystemVerilog Support
  • Improved Physical Plus for Xilinx devices

The IEEE Std 1800-2012 a.k.a. SystemVerilog is available for download

The 31 new features, 60 clarifications and 71 corrections of the standard include:

  • Multiple inheritance !
  • Soft constraints
  • Uniqueness constraints
  • A different global clock can be defined for each hierarchy scope

More infos here

UIT Wiki Presentation

The presentation slides can be downloaded here.

UVM 1.1b

This bugfix release is available for download now.

Personal tools
Namespaces
Variants
Actions
Navigation
Browse
Toolbox