Tools/SublimeText

(Difference between revisions)
Jump to: navigation, search
(EDA)
(VHDL4SublimeText)
Line 61: Line 61:
  
 
spro+[tab] will generate :
 
spro+[tab] will generate :
 +
  
 
${1:identifier}:process(clock, reset)
 
${1:identifier}:process(clock, reset)
 
begin
 
begin
        if reset = '1' then
+
:if reset = '1' then
               
+
::--async reset 
        elsif rising_edge(${2:clock}) then
+
:elsif rising_edge(${2:clock}) then
              $0
+
::$0
        end if;
+
:end if;
 
end process ${1:identifier}; -- ${1:identifier}
 
end process ${1:identifier}; -- ${1:identifier}
 +
  
 
Comments :
 
Comments :

Revision as of 07:44, 4 May 2016

Contents

Sublime Text is a multi-functional text editor: https://www.sublimetext.com/.

The Editor is based on plugins in order to extent it's functionality. Some important plugins are listed below. Find more at https://packagecontrol.io/.

Package Control

Needed to install plugins from a marketplace

Package Control

Installation

Official Instruction

Usage

Ctrl + Shift + P =>

  • Package Control: Install
  • Package Control: Remove

TodoReview

TodoReview

Usage

Simply open your Sublime Text Command Pallet and find the TodoReview: Project Files command. This will generate your TODO List using all files that are currently in your project, except the ones which are excluded in your settings. If you would like to also include your open files within the search, you can use the TodoReview: Project and Open Files command; it's that easy! You can then use these results to jump to the corresponding result. Additionally, you can right click a file or folder in your sidebar and select TodoReview to limit your search.

Alignment

Aligns certain user definable pattern. Alignment Ctrl + Shift + P => Package Control: Install Package => Alignment

Usage

Ctrl + Alt + A

Hex Viewer

View Hex Version of a file

Hex viewer and editor

Ctrl + Shift + P => Package Control: Install Package => Hex Viewer

Usage

  • Hex Viewer = Ctrl + Shift + B, Ctrl + Shift + H

Git

Integrates GIT control into the Editor

Ctrl + Shift + P => Package Control: Install Package => Git

EDA

For syntax highlight of PDC, UCF, VHDL

Preferences => Browse Packages => Copy https://github.com/tschinz/sublime_eda/zipball/master

VHDL4SublimeText

You find the code snippets derived from Yangsu's sublime-vhdl at https://github.com/dskntIndustry/VHDL4SublimeText.git. Just clone the repo and add the folder sublime-vhdl-master in the Package folder of Sublime Text.

When editing code, you are now able to generate generic code structures when triggering with tab on a reserved word defined by the snippets (XML format) you find in the Snippets folder.

Example "Synchronous process"

spro+[tab] will generate :


${1:identifier}:process(clock, reset) begin

if reset = '1' then
--async reset
elsif rising_edge(${2:clock}) then
$0
end if;

end process ${1:identifier}; -- ${1:identifier}


Comments :

${1:identifier}

1 : the number is used for navigation (with [tab], you move in the generated code) identifier : the word you want to replace

Zenburn

Zenburn Color Scheme

Preferences => Color Scheme => zenburn => zenburn

Nexus Theme

Nice dark theme

Ctrl + Shift + P => Package Control: Install Package => Theme - Nexus

Personal tools
Namespaces
Variants
Actions
Navigation
Browse
Toolbox