SEm/laboratoires/06 uart

From FSI
Revision as of 16:28, 31 March 2015 by Francois.corthay (Talk | contribs)
(diff) ← Older revision | Latest revision (diff) | Newer revision → (diff)
Jump to: navigation, search

Contents

Périphérique UART

Introduction

Dans ce laboratoire, nous allons réaliser un périphérique très commun dans tous les systèmes à processeur: un port série (Universal Asynchronous Receiver/Transmitter, UART).

Conception

Le périphérique met à disposition du processeur deux lignes série: une en émission et une en réception.

Registres

Les registres accédés en écriture par le microprocesseur sont:

adresse 00: registre des données, contient la valeur qui va être transmise selon le protocole RS 232
adresse 01: registre de contrôle, pas utilisé pour le moment
adresse 02: registre de période, donne la vitesse de transmission, tant en émission qu'en réception

Les registres accédés en lecture sont:

adresse 00: registre des données, contient la valeur reçue selon le protocole RS 232
adresse 01: registre des statut:
bit 0: indique qu'un nouveau mot a été reçu et peut être lu par le microprocesseur
bit 1: indique qu'un nouveau mot est en cours de réception
bit 2: indique qu'un mot est en cours de transmission et que le microprocesseur ne doit pas écrive de nouvelle valeur dans le registre des données

Code VHDL

View-pim-tasks.png

Dessinez le diagramme-bloc du périphérique AHB-Lite ahbUart.


View-pim-tasks.png

En se basant sur ce diagramme-bloc, écrivez le code VHDL du périphérique ahbUart .

Vérification

View-pim-tasks.png

A l'aide du banc de test à disposition, simulez le système et vérifiez le bon fonctionnement du périphérique ahbUart .

Personal tools
Namespaces
Variants
Actions
Navigation
Modules / Projects
Browse
Toolbox