SEm/labore/01 generator

From FSI
(Difference between revisions)
Jump to: navigation, search
(VHDL Code)
(Einleitung)
 
(2 intermediate revisions by one user not shown)
Line 15: Line 15:
 
Alle diese Signale sind als 16-Bit Binärzahlen ohne Vorzeichen dargestellt.
 
Alle diese Signale sind als 16-Bit Binärzahlen ohne Vorzeichen dargestellt.
 
Die Schaltung befindet sich in der Bibliothek '''WaveformGenerator''', die Testbank dazu in der Bibliothek '''WaveformGenerator_test'''.
 
Die Schaltung befindet sich in der Bibliothek '''WaveformGenerator''', die Testbank dazu in der Bibliothek '''WaveformGenerator_test'''.
 +
Das Zeichnungprogramm wir mit Hilfe des Skripts '''sineGen.bat''' gestartet.
 +
  
 
[[Image:SEm_WaveformGen.png|center|Funktionsgenerator]]
 
[[Image:SEm_WaveformGen.png|center|Funktionsgenerator]]
Line 115: Line 117:
  
 
{{TaskBox|content=
 
{{TaskBox|content=
Schreiben Sie die VHDL Architektur des Blocks zur Umwandlung des Dreiecksignals in ein Polygonsignal sowie die des Tiefpassfilters ersten Ordnung.}}
+
Schreiben Sie die VHDL Architektur des Blocks zur Umwandlung des Dreiecksignals in ein Polygonsignal sowie die des Tiefpassfilters ersten Ordnung.
 +
}}
  
 
Die Umwandlung vom Dreieck zum Polygon fängt mit einer Multiplikation mit 1,5 an.
 
Die Umwandlung vom Dreieck zum Polygon fängt mit einer Multiplikation mit 1,5 an.
Line 123: Line 126:
 
Symmetrisch dazu ersetzt man alle Werte über 5/8 des Maximalwertes durch denselben Wert von 5/8.
 
Symmetrisch dazu ersetzt man alle Werte über 5/8 des Maximalwertes durch denselben Wert von 5/8.
 
Letztlich wird das Polygonsignal um 1/8 nach unten verschoben um den Minimalwert bei 0 zu haben.
 
Letztlich wird das Polygonsignal um 1/8 nach unten verschoben um den Minimalwert bei 0 zu haben.
Dabei ist zu beachten, dass die Werte 1/8 und 5/8 der vollen Skala (Full Scale, FS) des 17-Bit Signals und nicht der Amplitude des Dreiecksignals zu entnehmen sind.
+
 
 +
{{WarningBox|content=
 +
Es ist zu beachten, dass die Werte 1/8 und 5/8 der vollen Skala (Full Scale, FS) des 17-Bit Signals
 +
und nicht der Amplitude des Dreiecksignals zu entnehmen sind.
 +
}}
  
 
Die Multiplikation mit 1,5 wird durch eine Schiebung und eine Addition erstellt.
 
Die Multiplikation mit 1,5 wird durch eine Schiebung und eine Addition erstellt.
Line 134: Line 141:
 
Die Anzahl Bits der Verschiebung ergeben die Schnittfrequenz.
 
Die Anzahl Bits der Verschiebung ergeben die Schnittfrequenz.
 
Wird diese Zahl um 1 vergrössert, so wird die Schnittfrequenz durch 2 geteilt.
 
Wird diese Zahl um 1 vergrössert, so wird die Schnittfrequenz durch 2 geteilt.
 +
 +
{{WarningBox|content=
 +
Die Anzahl Bits der Verschiebung ist ein generischer Parameter des Blocks.
 +
}}
  
 
Der Akkumulator braucht gleichviel Bits wie das Eingangssignal plus die Anzahl Bits der Verschiebung.
 
Der Akkumulator braucht gleichviel Bits wie das Eingangssignal plus die Anzahl Bits der Verschiebung.

Latest revision as of 12:33, 26 March 2018

Contents

Funktionsgenerator

Einleitung

In diesem Labor werdet ihr das Schreiben von VHDL-Code für kombinatorische und sequenzielle Blöcke lernen. Dies am Beispiel eines Funktionsgenerator welche folgende Signale erzeugt:

  • ein Sägezahnsignal, sawtooth,
  • ein Vierecksignal, square,
  • ein Dreiecksignal, triangle,
  • ein Sinuswellensignal, sine.

Alle diese Signale sind als 16-Bit Binärzahlen ohne Vorzeichen dargestellt. Die Schaltung befindet sich in der Bibliothek WaveformGenerator, die Testbank dazu in der Bibliothek WaveformGenerator_test. Das Zeichnungprogramm wir mit Hilfe des Skripts sineGen.bat gestartet.


Funktionsgenerator

Sägezahnsignalgenerator

Sägezahnsignalgenerator

Der Sägezahnsignalgenerator wird durch einen Zähler erstellt, welcher um und um zählt. Das Eingangssignal step erlaubt es, die Frequenz des erzeugten Sägezahnsignals zu steuern. Bei jeder steigenden Flanke des clock und wenn en = '1' addiert der Zähler den Wert von step zu seinem eigenem. Somit zählt er um so schneller je grösser der Wert von step ist.

VHDL Code

View-pim-tasks.png

Schreiben Sie die VHDL Architektur des Sägezahnsignalgenerators.

Dies ist eine synchrone sequenzielle Schaltung.


View-pim-tasks.png

Betrachten Sie die Entity des Sägezahnsignalgenerators, um die Typen der Ein- und Ausgangssignale zu erfahren. Gehen Sie durch die Bibliothek numeric_std, um eine Idee der zur Verfügung stehenden Funktionen für den Typ unsigned zu erhalten.

Dieser Block hat einen generischen Parameter (generic): bitNb. Dieser Parameter ist sowohl für die Entity wie auch für die zu schreibende Architektur definiert.

Dialog-warning.png

sawtooth ist ein Ausgangssignal und ihm kann deshalb nur ein Wert zugewiesen werden. Es ist nicht möglich, seinen Wert zurückzulesen, um ihm den Wert von step zu addieren. Deshalb muss man ein internes Signal definieren, welches gelesen und geschrieben werden kann. Am Ende wird das interne Signal auf das Ausgangssignal zugewiesen.

Simulation

View-pim-tasks.png

Berechnen Sie die Periode des Sägezahnsignals bei einer Taktfrequenz von 66 MHz, einem 16-Bit Zähler und einem Wert von step gleich 8.


View-pim-tasks.png

Geben Sie die generelle Formel der Frequenz des erzeugten Signals als Funktion der Taktfrequenz, der Anzahl Bits des Zählers und des Werts des Schrittes.


View-pim-tasks.png

Kompilieren und simulieren Sie den Block waveformGen_tb. Prüfen Sie die Funktionalität des Sägezahnsignalgenerators.

Umwandlung von Sägezahn zu Viereck

Umwandlung von Sägezahn zu Viereck

Das Sägezahnsignal wird in ein Viereck umgewandelt indem nur das MSBs des Sägezahnsignals betrachtet wird. Dennoch soll das erzeugte Vierecksignal auf 16 Bit kodiert sein.

VHDL Code

View-pim-tasks.png

Bestimmen Sie den Wert der kleinstmöglichen ganzen Zahl, welche dem unterem Teil des Vierecksignals entsprechen wird. Bestimmen Sie auch die Binärdarstellung des grösstmöglichen Werts des Vierecksignals.


View-pim-tasks.png

Schreiben Sie die VHDL Architektur des Blocks zur Umwandlung des Sägezahnsignals in ein Vierecksignal.

Dies ist eine kombinatorische Schaltung. Das Ausgangssignal ist eine auf 16 Bits kodierte Binärzahl.

Simulation

View-pim-tasks.png

Kompilieren und simulieren Sie den Block waveformGen_tb neu. Prüfen Sie die Form des Vierecksignals.

Umwandlung von Sägezahn zu Dreieck

Umwandlung von Sägezahn zu Dreieck

Die Umwandlung vom Sägezahnsignal zum Dreiecksignal wird gemacht, indem man "den oberen Teil der Sägezahn nach unten biegt", wenn das MSB des Signals gleich '1' ist.

VHDL Code

View-pim-tasks.png

Schreiben Sie die VHDL Architektur des Blocks zur Umwandlung des Sägezahnsignals in ein Dreiecksignal.

Dies ist eine kombinatorische Schaltung. Wenn das MSB des Sägezahnsignals gleich '1' ist, invertieren Sie alle Bits des Sägezahnsignals. Da das MSB des erzeugten Signals immer auf '0' ist, verwendet man nur die Hälfte des zu Verfügung stehenden Bereichs. Man wird also das erhaltene Signal mit zwei multiplizieren müssen um den ganzen Bereich auszunützen. Eine Multiplikation mit 2 entspricht einer Schiebung um ein Bit nach links. Es ist am einfachsten ein internes Signal zu definieren, das dem Resultat der ersten Operation entspricht. Dieses wird dann benutzt um den Ausgang zu erzeugen. Der Code wird auch besser lesbar, wenn das MSB des Eingangssignals als zusätzliches internes Signal definiert wird.

Simulation

View-pim-tasks.png

Kompilieren und simulieren Sie den Block waveformGen_tb neu. Prüfen Sie die Form des Dreiecksignals.

Umwandlung von Dreieck zu Sinus

Umwandlung von Dreieck zu Sinus

Die Umwandlung von Dreieck zu Sinus kann mit Hilfe einer Wahrheitstabelle (ROM) mit allen Sinuswerten erstellt werden. Diese Lösung ist zwar präzis, verbraucht aber viele Ressourcen in der programmierbaren Schaltung.

Für dieses Labor werden wir das Dreiecksignal filtern, um nur dessen Fundamentalkomponente zu behalten: eine Sinuswelle. Um die Arbeit des Tiefpassfilters zu vereinfachen, werden wir das Dreiecksignal vorerst in eine Polygonform umwandeln, welche der Sinuswelle näher steht.

VHDL Code

View-pim-tasks.png

Schreiben Sie die VHDL Architektur des Blocks zur Umwandlung des Dreiecksignals in ein Polygonsignal sowie die des Tiefpassfilters ersten Ordnung.

Die Umwandlung vom Dreieck zum Polygon fängt mit einer Multiplikation mit 1,5 an. Dies benötigt ein Signal mit einem zusätzlichem Bit. Danach werden die Spitzen des Dreiecks "abgeschnitten". Dazu werden alle Werte des Signals die kleiner sind als 1/8 des Maximalwertes durch denselben Wert von 1/8 ersetzt. Symmetrisch dazu ersetzt man alle Werte über 5/8 des Maximalwertes durch denselben Wert von 5/8. Letztlich wird das Polygonsignal um 1/8 nach unten verschoben um den Minimalwert bei 0 zu haben.

Dialog-warning.png

Es ist zu beachten, dass die Werte 1/8 und 5/8 der vollen Skala (Full Scale, FS) des 17-Bit Signals und nicht der Amplitude des Dreiecksignals zu entnehmen sind.

Die Multiplikation mit 1,5 wird durch eine Schiebung und eine Addition erstellt. Das Resultat benötigt ein Bit mehr als die Originalzahl. Jedoch kann nach der Schiebung um 1/8 nach unten dieses zusätzliche Bit vernachlässigt werden und das Ausgangssignal auf derselben Anzahl an Bits wie der Eingang kodiert werden.

Die Tiefpassfilterung wird mit Hilfe eines Integrators (ein Akkumulator) mit Dämpfung erstellt. In jeder Taktperiode wird zum Akkumulatorwert der Wert des Eingangsignals hinzu addiert (Integration). Gleichzeitig wird der um eine gewisse Anzahl Bits verschobene Wert des Akkumulators subtrahiert (Dämpfung). Die Anzahl Bits der Verschiebung ergeben die Schnittfrequenz. Wird diese Zahl um 1 vergrössert, so wird die Schnittfrequenz durch 2 geteilt.

Dialog-warning.png

Die Anzahl Bits der Verschiebung ist ein generischer Parameter des Blocks.

Der Akkumulator braucht gleichviel Bits wie das Eingangssignal plus die Anzahl Bits der Verschiebung. Als Ausgang sollen die MSBs des Akkumulators genommen werden.

Simulation

View-pim-tasks.png

Kompilieren und simulieren Sie den Block waveformGen_tb neu. Prüfen Sie die Form des Polygonsignals.


View-pim-tasks.png

Ändern Sie die Anzahl Bits der Verschiebung des Tiefpassfilters, bis ein "passendes"Signal erzeugt wird.


Navigation
Arrow left.gif 00 Installation Arrow up.gif Anleitung auf Deutsch 02 Interpolation Arrow right.gif

Personal tools
Namespaces
Variants
Actions
Navigation
Modules / Projects
Browse
Toolbox