SEm/labore/01 generator

From FSI
(Difference between revisions)
Jump to: navigation, search
(Einleitung)
 
(9 intermediate revisions by 3 users not shown)
Line 6: Line 6:
  
 
In diesem Labor werdet ihr das Schreiben von VHDL-Code für kombinatorische und sequenzielle Blöcke lernen.  
 
In diesem Labor werdet ihr das Schreiben von VHDL-Code für kombinatorische und sequenzielle Blöcke lernen.  
 
+
Dies am Beispiel eines Funktionsgenerator welche folgende Signale erzeugt:
Dies am Beispiel eins Funktionsgenerator welche folgende Signale erzeugt:
+
  
 
* ein Sägezahnsignal, '''sawtooth''',
 
* ein Sägezahnsignal, '''sawtooth''',
Line 14: Line 13:
 
* ein Sinuswellensignal, '''sine'''.
 
* ein Sinuswellensignal, '''sine'''.
  
Alle diese Signale sind als Binärzahlen mit 16 Bits (immer positiv) dargestellt.
+
Alle diese Signale sind als 16-Bit Binärzahlen ohne Vorzeichen dargestellt.
 +
Die Schaltung befindet sich in der Bibliothek '''WaveformGenerator''', die Testbank dazu in der Bibliothek '''WaveformGenerator_test'''.
 +
Das Zeichnungprogramm wir mit Hilfe des Skripts '''sineGen.bat''' gestartet.
  
Die Schaltung befindet sich in der Bibliothek '''WaveformGenerator''', die Testbank dazu in der Bibliothek '''WaveformGenerator_test'''.
 
  
 
[[Image:SEm_WaveformGen.png|center|Funktionsgenerator]]
 
[[Image:SEm_WaveformGen.png|center|Funktionsgenerator]]
Line 22: Line 22:
 
== Sägezahnsignalgenerator ==
 
== Sägezahnsignalgenerator ==
  
[[Image:SEm_SawtoothGen.png|right|Sägezahnsignalgenerator]]
+
[[Image:SEm_SawtoothGen.png|thumb|Sägezahnsignalgenerator]]
  
 
Der Sägezahnsignalgenerator wird durch einen Zähler erstellt, welcher um und um zählt.
 
Der Sägezahnsignalgenerator wird durch einen Zähler erstellt, welcher um und um zählt.
Line 31: Line 31:
 
=== VHDL Code ===
 
=== VHDL Code ===
  
{{NewsBox|Aufgabe|Schreiben Sie die VHDL Architektur des Sägezahnsignalgenerators.}}
+
{{TaskBox|content=
 +
Schreiben Sie die VHDL Architektur des Sägezahnsignalgenerators.}}
  
 
Dies ist eine '''''synchrone sequenzielle''''' Schaltung.
 
Dies ist eine '''''synchrone sequenzielle''''' Schaltung.
  
{{NewsBox|Aufgabe|Betrachten Sie die Entity des Sägezahnsignalgenerators, um die Typen der Ein- und
+
{{TaskBox|content=
Ausgangssignale zu erfahren. Gehen Sie durch die Bibliothek '''numeric_std''', um
+
Betrachten Sie die Entity des Sägezahnsignalgenerators, um die Typen der Ein- und Ausgangssignale zu erfahren. Gehen Sie durch die Bibliothek '''numeric_std''', um eine Idee der zur Verfügung stehenden Funktionen für den Typ '''unsigned''' zu erhalten.}}
eine Idee der zur Verfügung stehenden Funktionen für den Typ '''unsigned''' zu
+
erhalten.}}
+
  
 
Dieser Block hat einen generischen Parameter (generic): ''bitNb''. Dieser Parameter ist sowohl für die Entity wie auch für die zu schreibende Architektur definiert.
 
Dieser Block hat einen generischen Parameter (generic): ''bitNb''. Dieser Parameter ist sowohl für die Entity wie auch für die zu schreibende Architektur definiert.
  
''Aufgepasst'': '''sawtooth''' ist ein Ausgangssignal und ihm kann deshalb nur ein Wert zugewiesen werden.
+
{{WarningBox|content='''sawtooth''' ist ein Ausgangssignal und ihm kann deshalb nur ein Wert zugewiesen werden.
 
Es ist nicht möglich, seinen Wert zurückzulesen, um ihm den Wert von '''step''' zu addieren.
 
Es ist nicht möglich, seinen Wert zurückzulesen, um ihm den Wert von '''step''' zu addieren.
 
Deshalb muss man ein internes Signal definieren, welches gelesen und geschrieben werden kann.
 
Deshalb muss man ein internes Signal definieren, welches gelesen und geschrieben werden kann.
Am Ende wird das interne Signal auf das Ausgangssignal zugewiesen.
+
Am Ende wird das interne Signal auf das Ausgangssignal zugewiesen.}}
  
 
=== Simulation ===
 
=== Simulation ===
  
{{NewsBox|Aufgabe|Berechnen Sie die Periode des Sägezahnsignals bei einer Taktfrequenz von 66 MHz, einem 16-Bit Zähler und einem Wert von '''step''' gleich 8.}}
+
{{TaskBox|content=
 +
Berechnen Sie die Periode des Sägezahnsignals bei einer Taktfrequenz von 66 MHz, einem 16-Bit Zähler und einem Wert von '''step''' gleich 8.}}
  
{{NewsBox|Aufgabe|Geben Sie die generelle Formel der Frequenz des erzeugten Signals als Funktion der
+
{{TaskBox|content=
Taktfrequenz, der Anzahl Bits des Zählers und des Werts des Schrittes.}}
+
Geben Sie die generelle Formel der Frequenz des erzeugten Signals als Funktion der Taktfrequenz, der Anzahl Bits des Zählers und des Werts des Schrittes.}}
  
{{NewsBox|Aufgabe|Kompilieren und simulieren Sie den Block '''waveformGen_tb'''.
+
{{TaskBox|content=
Prüfen Sie die Funktionalität des Sägezahnsignalgenerators.}}
+
Kompilieren und simulieren Sie den Block '''waveformGen_tb'''. Prüfen Sie die Funktionalität des Sägezahnsignalgenerators.}}
  
 
== Umwandlung von Sägezahn zu Viereck ==
 
== Umwandlung von Sägezahn zu Viereck ==
  
[[Image:SEm_SawtoothToSquare.png|right|Umwandlung von Sägezahn zu Viereck]]
+
[[Image:SEm_SawtoothToSquare.png|thumb|Umwandlung von Sägezahn zu Viereck]]
  
 
Das Sägezahnsignal wird in ein Viereck umgewandelt indem nur das MSBs des Sägezahnsignals betrachtet wird. Dennoch soll das erzeugte Vierecksignal auf 16 Bit kodiert sein.
 
Das Sägezahnsignal wird in ein Viereck umgewandelt indem nur das MSBs des Sägezahnsignals betrachtet wird. Dennoch soll das erzeugte Vierecksignal auf 16 Bit kodiert sein.
Line 65: Line 65:
 
=== VHDL Code ===
 
=== VHDL Code ===
  
{{NewsBox|Aufgabe|Schreiben Sie die VHDL Architektur des Blocks zur Umwandlung des Sägezahnsignals in
+
{{TaskBox|content=
einem Vierecksignal.}}
+
Bestimmen Sie den Wert der kleinstmöglichen ganzen Zahl, welche dem unterem Teil des Vierecksignals entsprechen wird.
 +
Bestimmen Sie auch die Binärdarstellung des grösstmöglichen Werts des Vierecksignals.
 +
}}
  
Dies ist eine '''''kombinatorische''''' Schaltung.
+
{{TaskBox|content=
 +
Schreiben Sie die VHDL Architektur des Blocks zur Umwandlung des Sägezahnsignals in ein Vierecksignal.}}
  
Das Ausgangssignal ist eine auf 16 Bits kodierte Binärzahl.
+
Dies ist eine kombinatorische Schaltung. Das Ausgangssignal ist eine auf 16 Bits kodierte Binärzahl.
 
+
{{NewsBox|Aufgabe|Bestimmen Sie den Wert der kleinstmöglichen ganzen Zahl, welche dem unterem Teil des Vierecksignals entsprechen wird.
+
Bestimmen Sie auch die Binärdarstellung des grösstmöglichen Werts des Vierecksignals.}}
+
  
 
=== Simulation ===
 
=== Simulation ===
  
{{NewsBox|Aufgabe|Kompilieren und simulieren Sie den Block '''waveformGen_tb''' neu. Prüfen Sie die Form des
+
{{TaskBox|content=
 +
Kompilieren und simulieren Sie den Block '''waveformGen_tb''' neu. Prüfen Sie die Form des
 
Vierecksignals.}}
 
Vierecksignals.}}
  
 
== Umwandlung von Sägezahn zu Dreieck ==
 
== Umwandlung von Sägezahn zu Dreieck ==
  
[[Image:SEm_SawtoothToTriangle.png|right|Umwandlung von Sägezahn zu Dreieck ]]
+
[[Image:SEm_SawtoothToTriangle.png|thumb|Umwandlung von Sägezahn zu Dreieck ]]
  
 
Die Umwandlung vom Sägezahnsignal zum Dreiecksignal wird gemacht, indem man "den oberen Teil der Sägezahn nach unten biegt", wenn das MSB des Signals gleich '1' ist.
 
Die Umwandlung vom Sägezahnsignal zum Dreiecksignal wird gemacht, indem man "den oberen Teil der Sägezahn nach unten biegt", wenn das MSB des Signals gleich '1' ist.
Line 88: Line 89:
 
=== VHDL Code ===
 
=== VHDL Code ===
  
{{NewsBox|Aufgabe|Schreiben Sie die VHDL Architektur des Blocks zur Umwandlung des Sägezahnsignals in
+
{{TaskBox|content=
ein Dreiecksignal.}}
+
Schreiben Sie die VHDL Architektur des Blocks zur Umwandlung des Sägezahnsignals in ein Dreiecksignal.}}
 
+
Dies ist eine '''''kombinatorische''''' Schaltung.
+
  
 +
Dies ist eine kombinatorische Schaltung.
 
Wenn das MSB des Sägezahnsignals gleich '1' ist, invertieren Sie alle Bits des Sägezahnsignals.
 
Wenn das MSB des Sägezahnsignals gleich '1' ist, invertieren Sie alle Bits des Sägezahnsignals.
 
 
Da das MSB des erzeugten Signals immer auf '0' ist, verwendet man nur die Hälfte des zu Verfügung stehenden Bereichs. Man wird also das erhaltene Signal mit zwei multiplizieren müssen um den ganzen Bereich auszunützen.
 
Da das MSB des erzeugten Signals immer auf '0' ist, verwendet man nur die Hälfte des zu Verfügung stehenden Bereichs. Man wird also das erhaltene Signal mit zwei multiplizieren müssen um den ganzen Bereich auszunützen.
 
Eine Multiplikation mit 2 entspricht einer Schiebung um ein Bit nach links.
 
Eine Multiplikation mit 2 entspricht einer Schiebung um ein Bit nach links.
 
 
Es ist am einfachsten ein internes Signal zu definieren, das dem Resultat der ersten Operation entspricht. Dieses wird dann benutzt um den Ausgang zu erzeugen.
 
Es ist am einfachsten ein internes Signal zu definieren, das dem Resultat der ersten Operation entspricht. Dieses wird dann benutzt um den Ausgang zu erzeugen.
 
Der Code wird auch besser lesbar, wenn das MSB des Eingangssignals als zusätzliches internes Signal definiert wird.
 
Der Code wird auch besser lesbar, wenn das MSB des Eingangssignals als zusätzliches internes Signal definiert wird.
Line 103: Line 101:
 
=== Simulation ===
 
=== Simulation ===
  
{{NewsBox|Aufgabe|Kompilieren und simulieren Sie den Block '''waveformGen_tb''' neu. Prüfen Sie die Form des
+
{{TaskBox|content=
 +
Kompilieren und simulieren Sie den Block '''waveformGen_tb''' neu. Prüfen Sie die Form des
 
Dreiecksignals.}}
 
Dreiecksignals.}}
  
 
== Umwandlung von Dreieck zu Sinus ==
 
== Umwandlung von Dreieck zu Sinus ==
  
[[Image:SEm_TriangleToSine.png|right|Umwandlung von Dreieck zu Sinus]]
+
[[Image:SEm_TriangleToSine.png|thumb|Umwandlung von Dreieck zu Sinus]]
  
 
Die Umwandlung von Dreieck zu Sinus kann mit Hilfe einer Wahrheitstabelle (ROM) mit allen Sinuswerten erstellt werden. Diese Lösung ist zwar präzis, verbraucht aber viele Ressourcen in der programmierbaren Schaltung.
 
Die Umwandlung von Dreieck zu Sinus kann mit Hilfe einer Wahrheitstabelle (ROM) mit allen Sinuswerten erstellt werden. Diese Lösung ist zwar präzis, verbraucht aber viele Ressourcen in der programmierbaren Schaltung.
Line 117: Line 116:
 
=== VHDL Code ===
 
=== VHDL Code ===
  
{{NewsBox|Aufgabe|Schreiben Sie die VHDL Architektur des Blocks zur Umwandlung des Dreiecksignals in ein Polygonsignal sowie die des Tiefpassfilters ersten Ordnung.}}
+
{{TaskBox|content=
 +
Schreiben Sie die VHDL Architektur des Blocks zur Umwandlung des Dreiecksignals in ein Polygonsignal sowie die des Tiefpassfilters ersten Ordnung.
 +
}}
  
 
Die Umwandlung vom Dreieck zum Polygon fängt mit einer Multiplikation mit 1,5 an.
 
Die Umwandlung vom Dreieck zum Polygon fängt mit einer Multiplikation mit 1,5 an.
Line 125: Line 126:
 
Symmetrisch dazu ersetzt man alle Werte über 5/8 des Maximalwertes durch denselben Wert von 5/8.
 
Symmetrisch dazu ersetzt man alle Werte über 5/8 des Maximalwertes durch denselben Wert von 5/8.
 
Letztlich wird das Polygonsignal um 1/8 nach unten verschoben um den Minimalwert bei 0 zu haben.
 
Letztlich wird das Polygonsignal um 1/8 nach unten verschoben um den Minimalwert bei 0 zu haben.
Dabei ist zu beachten, dass die Werte 1/8 und 5/8 der vollen Skala (Full Scale, FS) des 17-Bit Signals und nicht der Amplitude des Dreiecksignals zu entnehmen sind.
+
 
 +
{{WarningBox|content=
 +
Es ist zu beachten, dass die Werte 1/8 und 5/8 der vollen Skala (Full Scale, FS) des 17-Bit Signals
 +
und nicht der Amplitude des Dreiecksignals zu entnehmen sind.
 +
}}
  
 
Die Multiplikation mit 1,5 wird durch eine Schiebung und eine Addition erstellt.
 
Die Multiplikation mit 1,5 wird durch eine Schiebung und eine Addition erstellt.
Line 136: Line 141:
 
Die Anzahl Bits der Verschiebung ergeben die Schnittfrequenz.
 
Die Anzahl Bits der Verschiebung ergeben die Schnittfrequenz.
 
Wird diese Zahl um 1 vergrössert, so wird die Schnittfrequenz durch 2 geteilt.
 
Wird diese Zahl um 1 vergrössert, so wird die Schnittfrequenz durch 2 geteilt.
 +
 +
{{WarningBox|content=
 +
Die Anzahl Bits der Verschiebung ist ein generischer Parameter des Blocks.
 +
}}
  
 
Der Akkumulator braucht gleichviel Bits wie das Eingangssignal plus die Anzahl Bits der Verschiebung.
 
Der Akkumulator braucht gleichviel Bits wie das Eingangssignal plus die Anzahl Bits der Verschiebung.
Line 142: Line 151:
 
=== Simulation ===
 
=== Simulation ===
  
{{NewsBox|Aufgabe|Kompilieren und simulieren Sie den Block '''waveformGen_tb''' neu.
+
{{TaskBox|content=
Prüfen Sie die Form des Polygonsignals.}}
+
Kompilieren und simulieren Sie den Block '''waveformGen_tb''' neu. Prüfen Sie die Form des Polygonsignals.}}
  
{{NewsBox|Aufgabe|Ändern Sie die Anzahl Bits der Verschiebung des Tiefpassfilters, bis ein "passendes"
+
{{TaskBox|content=
Signal erzeugt wird.}}
+
Ändern Sie die Anzahl Bits der Verschiebung des Tiefpassfilters, bis ein "passendes"Signal erzeugt wird.}}
  
 
{{navNamed|left=SEm/labore/00_installation|left_name=00 Installation|up=SEm/labore|up_name=Anleitung auf Deutsch|right=SEm/labore/02_interpolation|right_name=02 Interpolation}}
 
{{navNamed|left=SEm/labore/00_installation|left_name=00 Installation|up=SEm/labore|up_name=Anleitung auf Deutsch|right=SEm/labore/02_interpolation|right_name=02 Interpolation}}
  
[[Category:SEm]]
+
[[Category:Bachelor]][[Category:SEm]][[Category:Deutsch]]

Latest revision as of 12:33, 26 March 2018

Contents

Funktionsgenerator

Einleitung

In diesem Labor werdet ihr das Schreiben von VHDL-Code für kombinatorische und sequenzielle Blöcke lernen. Dies am Beispiel eines Funktionsgenerator welche folgende Signale erzeugt:

  • ein Sägezahnsignal, sawtooth,
  • ein Vierecksignal, square,
  • ein Dreiecksignal, triangle,
  • ein Sinuswellensignal, sine.

Alle diese Signale sind als 16-Bit Binärzahlen ohne Vorzeichen dargestellt. Die Schaltung befindet sich in der Bibliothek WaveformGenerator, die Testbank dazu in der Bibliothek WaveformGenerator_test. Das Zeichnungprogramm wir mit Hilfe des Skripts sineGen.bat gestartet.


Funktionsgenerator

Sägezahnsignalgenerator

Sägezahnsignalgenerator

Der Sägezahnsignalgenerator wird durch einen Zähler erstellt, welcher um und um zählt. Das Eingangssignal step erlaubt es, die Frequenz des erzeugten Sägezahnsignals zu steuern. Bei jeder steigenden Flanke des clock und wenn en = '1' addiert der Zähler den Wert von step zu seinem eigenem. Somit zählt er um so schneller je grösser der Wert von step ist.

VHDL Code

View-pim-tasks.png

Schreiben Sie die VHDL Architektur des Sägezahnsignalgenerators.

Dies ist eine synchrone sequenzielle Schaltung.


View-pim-tasks.png

Betrachten Sie die Entity des Sägezahnsignalgenerators, um die Typen der Ein- und Ausgangssignale zu erfahren. Gehen Sie durch die Bibliothek numeric_std, um eine Idee der zur Verfügung stehenden Funktionen für den Typ unsigned zu erhalten.

Dieser Block hat einen generischen Parameter (generic): bitNb. Dieser Parameter ist sowohl für die Entity wie auch für die zu schreibende Architektur definiert.

Dialog-warning.png

sawtooth ist ein Ausgangssignal und ihm kann deshalb nur ein Wert zugewiesen werden. Es ist nicht möglich, seinen Wert zurückzulesen, um ihm den Wert von step zu addieren. Deshalb muss man ein internes Signal definieren, welches gelesen und geschrieben werden kann. Am Ende wird das interne Signal auf das Ausgangssignal zugewiesen.

Simulation

View-pim-tasks.png

Berechnen Sie die Periode des Sägezahnsignals bei einer Taktfrequenz von 66 MHz, einem 16-Bit Zähler und einem Wert von step gleich 8.


View-pim-tasks.png

Geben Sie die generelle Formel der Frequenz des erzeugten Signals als Funktion der Taktfrequenz, der Anzahl Bits des Zählers und des Werts des Schrittes.


View-pim-tasks.png

Kompilieren und simulieren Sie den Block waveformGen_tb. Prüfen Sie die Funktionalität des Sägezahnsignalgenerators.

Umwandlung von Sägezahn zu Viereck

Umwandlung von Sägezahn zu Viereck

Das Sägezahnsignal wird in ein Viereck umgewandelt indem nur das MSBs des Sägezahnsignals betrachtet wird. Dennoch soll das erzeugte Vierecksignal auf 16 Bit kodiert sein.

VHDL Code

View-pim-tasks.png

Bestimmen Sie den Wert der kleinstmöglichen ganzen Zahl, welche dem unterem Teil des Vierecksignals entsprechen wird. Bestimmen Sie auch die Binärdarstellung des grösstmöglichen Werts des Vierecksignals.


View-pim-tasks.png

Schreiben Sie die VHDL Architektur des Blocks zur Umwandlung des Sägezahnsignals in ein Vierecksignal.

Dies ist eine kombinatorische Schaltung. Das Ausgangssignal ist eine auf 16 Bits kodierte Binärzahl.

Simulation

View-pim-tasks.png

Kompilieren und simulieren Sie den Block waveformGen_tb neu. Prüfen Sie die Form des Vierecksignals.

Umwandlung von Sägezahn zu Dreieck

Umwandlung von Sägezahn zu Dreieck

Die Umwandlung vom Sägezahnsignal zum Dreiecksignal wird gemacht, indem man "den oberen Teil der Sägezahn nach unten biegt", wenn das MSB des Signals gleich '1' ist.

VHDL Code

View-pim-tasks.png

Schreiben Sie die VHDL Architektur des Blocks zur Umwandlung des Sägezahnsignals in ein Dreiecksignal.

Dies ist eine kombinatorische Schaltung. Wenn das MSB des Sägezahnsignals gleich '1' ist, invertieren Sie alle Bits des Sägezahnsignals. Da das MSB des erzeugten Signals immer auf '0' ist, verwendet man nur die Hälfte des zu Verfügung stehenden Bereichs. Man wird also das erhaltene Signal mit zwei multiplizieren müssen um den ganzen Bereich auszunützen. Eine Multiplikation mit 2 entspricht einer Schiebung um ein Bit nach links. Es ist am einfachsten ein internes Signal zu definieren, das dem Resultat der ersten Operation entspricht. Dieses wird dann benutzt um den Ausgang zu erzeugen. Der Code wird auch besser lesbar, wenn das MSB des Eingangssignals als zusätzliches internes Signal definiert wird.

Simulation

View-pim-tasks.png

Kompilieren und simulieren Sie den Block waveformGen_tb neu. Prüfen Sie die Form des Dreiecksignals.

Umwandlung von Dreieck zu Sinus

Umwandlung von Dreieck zu Sinus

Die Umwandlung von Dreieck zu Sinus kann mit Hilfe einer Wahrheitstabelle (ROM) mit allen Sinuswerten erstellt werden. Diese Lösung ist zwar präzis, verbraucht aber viele Ressourcen in der programmierbaren Schaltung.

Für dieses Labor werden wir das Dreiecksignal filtern, um nur dessen Fundamentalkomponente zu behalten: eine Sinuswelle. Um die Arbeit des Tiefpassfilters zu vereinfachen, werden wir das Dreiecksignal vorerst in eine Polygonform umwandeln, welche der Sinuswelle näher steht.

VHDL Code

View-pim-tasks.png

Schreiben Sie die VHDL Architektur des Blocks zur Umwandlung des Dreiecksignals in ein Polygonsignal sowie die des Tiefpassfilters ersten Ordnung.

Die Umwandlung vom Dreieck zum Polygon fängt mit einer Multiplikation mit 1,5 an. Dies benötigt ein Signal mit einem zusätzlichem Bit. Danach werden die Spitzen des Dreiecks "abgeschnitten". Dazu werden alle Werte des Signals die kleiner sind als 1/8 des Maximalwertes durch denselben Wert von 1/8 ersetzt. Symmetrisch dazu ersetzt man alle Werte über 5/8 des Maximalwertes durch denselben Wert von 5/8. Letztlich wird das Polygonsignal um 1/8 nach unten verschoben um den Minimalwert bei 0 zu haben.

Dialog-warning.png

Es ist zu beachten, dass die Werte 1/8 und 5/8 der vollen Skala (Full Scale, FS) des 17-Bit Signals und nicht der Amplitude des Dreiecksignals zu entnehmen sind.

Die Multiplikation mit 1,5 wird durch eine Schiebung und eine Addition erstellt. Das Resultat benötigt ein Bit mehr als die Originalzahl. Jedoch kann nach der Schiebung um 1/8 nach unten dieses zusätzliche Bit vernachlässigt werden und das Ausgangssignal auf derselben Anzahl an Bits wie der Eingang kodiert werden.

Die Tiefpassfilterung wird mit Hilfe eines Integrators (ein Akkumulator) mit Dämpfung erstellt. In jeder Taktperiode wird zum Akkumulatorwert der Wert des Eingangsignals hinzu addiert (Integration). Gleichzeitig wird der um eine gewisse Anzahl Bits verschobene Wert des Akkumulators subtrahiert (Dämpfung). Die Anzahl Bits der Verschiebung ergeben die Schnittfrequenz. Wird diese Zahl um 1 vergrössert, so wird die Schnittfrequenz durch 2 geteilt.

Dialog-warning.png

Die Anzahl Bits der Verschiebung ist ein generischer Parameter des Blocks.

Der Akkumulator braucht gleichviel Bits wie das Eingangssignal plus die Anzahl Bits der Verschiebung. Als Ausgang sollen die MSBs des Akkumulators genommen werden.

Simulation

View-pim-tasks.png

Kompilieren und simulieren Sie den Block waveformGen_tb neu. Prüfen Sie die Form des Polygonsignals.


View-pim-tasks.png

Ändern Sie die Anzahl Bits der Verschiebung des Tiefpassfilters, bis ein "passendes"Signal erzeugt wird.


Navigation
Arrow left.gif 00 Installation Arrow up.gif Anleitung auf Deutsch 02 Interpolation Arrow right.gif

Personal tools
Namespaces
Variants
Actions
Navigation
Modules / Projects
Browse
Toolbox