Main Page

From UIT
Revision as of 08:15, 16 October 2015 by Oliver.gubler (Talk | contribs)
Jump to: navigation, search

Welcome to the HES-SO Valais Wallis Wiki of the Infotronics Unit

Contents

HESSO Valais Wallis Logo
This is the knowledge database of the HES-SO Valais Wallis Institute of Systems Engineering Infotronics Unit (UIT). It's the place to share experiences, findings, how-to's and everything else about HDL, Telecom, Embedded Systems and related topics.

Find more information about our educational program in the FSI Wiki.

link=Help:http://wiki.hevs.ch/uit/index.php?title=Special:RecentChanges&feed=rss Get informed about all changes to this wiki by signing up to this RSS feed

Getting started

Use the Navigation to the left to enter the different sections or follow any of the following links:

News

2015-10-16

HyperCam hyperspectral camera

Microsoft Research and the Universitiy of Washington just released a paper describing a camera that takes pictures at 17 different wavelengths.

2015-07-21

SpinalHDL introduction in complex HDL projects

SpinalHDL, a very new high level hardware description library, is now used on the HDL complex design xADDACore - a fully auto-generated and customizable architecture for wide-band impedance spectroscopy (compatible with multiple hardware, some targeted projects : HiSADDA, IGOR V, MiniBioDet and OLGM). More details on :

2015-04-21

HDL-Designer 2015.1 is now available on guo's Software Server

  • VHDL 2008 enhancements
  • SystemVerilog Assistant
  • Xilinx Vivado interface
  • bugfixes and minor enhancements

2015-04-07

Model-/QuestaSim 10.4a is now available on guo's Software Server

  • Performance improvements for SV (OVM/UVM), VHDL, HTML and libraries
  • New Toolbars (Edit Preferences)
  • discontinued support for Windows XP and Vista!

2015-03-12

Synplify 2015.3 is now available on guo's Software Server

  • Compiler Enhancements
  • ...

2015-03-02

WinPython 2.7.9.3 is now available on guo's Software Server

  • new packages: numpy, jsonschema, mistune, ...
  • upgraded many packages

2013-12-12

Qt 5.4 including Qt Creator 3.3.0 has been released

Check the Summary of New Features

2014-08-07

Microsemi Libero SoC 11.4 is now available on guo's Software Server

  • Enlarged support for SmartFusion2 and IGLOO2 families
  • Runtime and UI improvements
  • SoftConsole v3.4 requires the SP1 to be compatible with Libero SoC v11.4

2014-03-18

Xilinx ISE 14.7 is now available on guo's Software Server

IMPORTANT: As ISE enters it's sustaining phase of product life there will be no more major releases. However, updates and patches might still be released.

  • 7 series and Zynq device and IP updates

2013-12-11

New Heavyweight FPGA Champion: Xilinx announced the new Virtex UltraScale All Programmable devices

This 3D IC contains three die (SLR) to achieve:

  • 4.4M logic cells (approx. 50M ASIC gates)
  • 88.6 Mbits BRAM
  • 2880 DSP48 slices (4268 GMACs/sec)
  • hard-IPs: 6 x PCIe, 3 x 100G Ethernet MAC, 48 x 16.3 Gbps transceivers
  • 1456 I/O Pins

2013-11-29

In need of a Qt Library? Like to publish one? Check out #inqlude!

Inqlude is meant to be the place where you find all information and pointers to Qt libraries, components or modules. There's the webpage, a format for describing Q-based libraries and a command line client to install libraries. It's all still in alpha phase, but certainly worth a look. For more and up-to-date information follow this link.

2013-07-18

Xilinx ISE 14.6 is now available on guo's Software Server

  • IP updates
  • further Device Support for Zynq-7000 and Defenense-Grade Zynq-7000Q, Artix-7Q, Virtex-7Q

2013-06-19

IGLOO for the masses: Microsemi announced the new IGLOO2 FPGA

Like the old IGLOOs, it's based on the non-volatile Flash technology, with it's advantages of independence from external configuration devices, lower power (flash freeze), higher radiation immunity and security. Up until now, Flash based devices have been rather small. But with IGLOO2, Microsemi is now in direct competition with the other important FPGA manufacturers. IGLOO2 has

  • 6-150 kLUTs (like Xilinx Artix-7 or Spartan6)
  • up to 16 5G SerDes (competitors: <10)
  • max. 574 User IOs (like Spartan6, more than Artix-7)
  • 700-5000 kBits RAM
  • up to 2 DDR controllers and 4 PCIe endpoints

The M2GL050 is already shipping and starts at less than $7USD for high volume orders.

2013-05-31

OSVVM release 2013.05 is now available on guo's Software Server

  • adds large vector randomization
  • has a work around for some Aldec issues

The IEEE Std 1800-2012 a.k.a. SystemVerilog is available for download

The 31 new features, 60 clarifications and 71 corrections of the standard include:

  • Multiple inheritance !
  • Soft constraints
  • Uniqueness constraints
  • A different global clock can be defined for each hierarchy scope

More infos here

UIT Wiki Presentation

The presentation slides can be downloaded here.

UVM 1.1b

This bugfix release is available for download now.

Personal tools
Namespaces
Variants
Actions
Navigation
Browse
Toolbox