Help:Extentions

From UIT
(Difference between revisions)
Jump to: navigation, search
m (RSS Feed)
 
(19 intermediate revisions by 4 users not shown)
Line 4: Line 4:
 
<pre>
 
<pre>
 
<math>
 
<math>
  \operatorname{erfc}(x) =
+
\operatorname{erfc}(x) =
  \frac{2}{\sqrt{\pi}} \int_x^{\infty} e^{-t^2}\,dt =
+
\frac{2}{\sqrt{\pi}} \int_x^{\infty} e^{-t^2}\,dt =
  \frac{e^{-x^2}}{x\sqrt{\pi}}\sum_{n=0}^\infty (-1)^n \frac{(2n)!}{n!(2x)^{2n}}
+
\frac{e^{-x^2}}{x\sqrt{\pi}}\sum_{n=0}^\infty (-1)^n \frac{(2n)!}{n!(2x)^{2n}}
 
</math>
 
</math>
 
</pre>
 
</pre>
Line 18: Line 18:
 
== Syntax Highlighting Geshi ==
 
== Syntax Highlighting Geshi ==
  
To highlight a code in any language, click on the SyntaxHighlighting button at the top of the article, write your code beetween the <nowiki><source> </source></nowiki> tags and select a language entering the language name in the lang parameter in the tag <nowiki><source lang="VHDL"> </source></nowiki>
+
To highlight a code in any language, click on the GeSHi button at the top of the article, write your code between the <nowiki><source> </source></nowiki> tags and select a language entering the language name in the ''lang'' parameter in the tag <nowiki><source lang="VHDL"> </source></nowiki>
 
<pre>
 
<pre>
 
<source lang="VHDL">
 
<source lang="VHDL">
Line 61: Line 61:
 
'''List of avalaible language :''' ABAP, Actionscript, ADA, Apache Log, AppleScript, APT sources.list, ASM (m68k), ASM (pic16), ASM (x86), ASM (z80), ASP, AutoIT, Backus-Naur form, Bash, Basic4GL, BlitzBasic, Brainfuck, C, C for Macs, C#, C++, C++ (with QT), CAD DCL, CadLisp, CFDG, CIL / MSIL, COBOL, ColdFusion, CSS, D, Delphi, Diff File Format, DIV, DOS, DOT language, Eiffel, Fortran, FourJ's Genero, FreeBasic, GetText, glSlang, GML, gnuplot, Groovy, Haskell, HQ9+, HTML, INI (Config Files), Inno, INTERCAL, IO, Java, Java 5, Javascript, KiXtart, KLone C & C++, LaTeX, Lisp, LOLcode, LotusScript, LScript, Lua, Make, mIRC, MXML, MySQL, NSIS, Objective C, OCaml, OpenOffice BASIC, Oracle 8 & 11 SQL, Pascal, Perl, PHP, Pixel Bender, PL/SQL, POV-Ray, PowerShell, Progress (OpenEdge ABL), Prolog, ProvideX, Python, Q(uick)BASIC, robots.txt, Ruby, Ruby on Rails, SAS, Scala, Scheme, Scilab, SDLBasic, Smalltalk, Smarty, SQL, T-SQL, TCL, thinBasic, TypoScript, Uno IDL, VB.NET, Verilog, VHDL, VIM Script, Visual BASIC, Visual Fox Pro, Visual Prolog, Whitespace, Winbatch, Windows Registry Files, X++, XML, Xorg.conf
 
'''List of avalaible language :''' ABAP, Actionscript, ADA, Apache Log, AppleScript, APT sources.list, ASM (m68k), ASM (pic16), ASM (x86), ASM (z80), ASP, AutoIT, Backus-Naur form, Bash, Basic4GL, BlitzBasic, Brainfuck, C, C for Macs, C#, C++, C++ (with QT), CAD DCL, CadLisp, CFDG, CIL / MSIL, COBOL, ColdFusion, CSS, D, Delphi, Diff File Format, DIV, DOS, DOT language, Eiffel, Fortran, FourJ's Genero, FreeBasic, GetText, glSlang, GML, gnuplot, Groovy, Haskell, HQ9+, HTML, INI (Config Files), Inno, INTERCAL, IO, Java, Java 5, Javascript, KiXtart, KLone C & C++, LaTeX, Lisp, LOLcode, LotusScript, LScript, Lua, Make, mIRC, MXML, MySQL, NSIS, Objective C, OCaml, OpenOffice BASIC, Oracle 8 & 11 SQL, Pascal, Perl, PHP, Pixel Bender, PL/SQL, POV-Ray, PowerShell, Progress (OpenEdge ABL), Prolog, ProvideX, Python, Q(uick)BASIC, robots.txt, Ruby, Ruby on Rails, SAS, Scala, Scheme, Scilab, SDLBasic, Smalltalk, Smarty, SQL, T-SQL, TCL, thinBasic, TypoScript, Uno IDL, VB.NET, Verilog, VHDL, VIM Script, Visual BASIC, Visual Fox Pro, Visual Prolog, Whitespace, Winbatch, Windows Registry Files, X++, XML, Xorg.conf
  
== IssueTracker ==
+
== Subpagelist ==
 +
the Subpagelist extentions allows to structure the Wiki pages with the help of pagename/subpagename/sbusubpagename, to create a hierarchical structure.
 +
The Tag '''splist''' allows to display all subpages.
  
The ''IssueTracker'' extension provides a custom tag, <nowiki> <issues /> </nowiki>, that allows the inclusion of an issue tracker in any wiki page. It's possible to customize almost every aspect of the extension, such as permissions, user groups, colours, titles, and templates. It supports searching and several types of filtering.
+
Example with use of all available attributes.
 +
<pre>
 +
<splist
 +
  parent=
 +
  showparent=yes
 +
  sort=desc
 +
  sortby=title
 +
  liststyle=ordered
 +
  showpath=no
 +
  kidsonly=no
 +
  debug=0
 +
/>
 +
</pre>
  
To embed an issue tracker into a page, use the <nowiki> <issues /> </nowiki>tag:
+
* '''Liststyle''': default is ''unordered''; values are ''ordered|unordered|bar''. Toggles list between <nowiki><ol></nowiki> and <nowiki><ul></nowiki> lists. The ''bar'' value causes the list to align horizontally with <nowiki>&middot;</nowiki> symbols acting as delimiters (for bar lists rather than tree lists).
<pre><issues /></pre>
+
* '''Sort''': default is ''asc''; values are ''asc|desc''. Toggles list between ascending and descending sort order. By default, the tag sorts subpages in ascending alphabetical order; all sorting, however, is subject to hierarchical position - i.e. pages will always be subordinated to their parents; sorting is only among siblings.
 
+
* '''Sortby''': default is ''title''; values are ''title|lastedit''. Toggles list sort determinant between title and date of the last edit.
If a project name is not provided, the extension will use the page namespace as the default project name. You can create multiple issue trackers by providing different project names, for example:
+
* '''Showpath''': default is ''no''; values are ''no|notparent|full''. Determines how the title of each page is displayed in the list. "No" means that only the subpage title (i.e. the bit after the last slash) is displayed. "Notparent" shows the full path without the top level. "Full" shows all levels in the page title name including the top level (e.g. "my page/my subpage/my subpage2").
 
+
* '''Kidsonly''': default is ''no''; values are ''yes|no''. If this is set to "yes", then only the first generation of subpages (i.e. the children but not the grandchildren) is displayed. This is especially useful if the ''liststyle'' is set to ''bar''. It's also good if you just want a list rather than a tree.
<pre><issues project="My Project" /></pre>
+
* '''Parent''': by default the tag analyses the subpage system of its own page. However you can set the tag to analyse and display the subpage system of any other page on the wiki. Use local name format. E.g. "parent=Template:FOO" (with a namespace) or "parent=My Page" (in the main namespace). A common source of error is typing the page name incorrectly. The extension checks whether or not the page you name actually exists, and will report an error if it doesn't.
 
+
* '''Showparent''': default is ''no''; values are ''yes|no''. If this is set to "yes", then the page indicated by ''parent'' (above) will be inserted as the top level item in the list. If the list is a bulletted list, then this top level item will have a bullet and the rest of the list will be indented one level further than it would be otherwise.
To hide the filter and search forms you can set the search and filter options to false:
+
* '''Debug''': you can switch debugging on by setting this option to 1; the debugging goes no further than reporting invalid input for the other options.
 
+
<pre><issues project="My Project" search="false" filter="false" /></pre>
+
 
+
By default, users must be logged in to add, edit or achieve issues. If you want to allow anonymous issue management, set the authenticate option to false:
+
 
+
<pre><issues project="My Project" authenticate="false" /></pre>
+
 
+
====Options====
+
 
+
* '''project''' = String : The project name (default: page namespace).
+
* '''authenticate''' = Boolean : User authentication (default: true).
+
* '''search''' = Boolean : Display search form (default: true).
+
* '''filter''' = Boolean : Display filter form (default: true).
+
  
 +
=== Examples ===
 +
<pre>
 +
<splist parent=Tools/>
 +
</pre>
 +
<splist parent=Tools />
  
 
== File & Picture, Upload & Download ==
 
== File & Picture, Upload & Download ==
Line 94: Line 100:
  
 
== RSS Feed ==
 
== RSS Feed ==
 
+
=== Display RSS Feeds ===
 
Use one section between {{tag|rss|o}}-tags for each feed. The rss element may contain parameters if you provide them as attributes to the rss element:
 
Use one section between {{tag|rss|o}}-tags for each feed. The rss element may contain parameters if you provide them as attributes to the rss element:
 
{| class="wikitable"
 
{| class="wikitable"
Line 131: Line 137:
 
==== Example ====
 
==== Example ====
 
<pre><rss max=5 highlight="foundation public donation research community">http://blog.wikimedia.org/feed/</rss></pre>
 
<pre><rss max=5 highlight="foundation public donation research community">http://blog.wikimedia.org/feed/</rss></pre>
 +
<rss max=5 highlight="foundation public donation research community">http://blog.wikimedia.org/feed/</rss>
 +
 +
=== Create RSS Feed ===
 +
It is possible to create RSS Feed's of pages
 +
 +
General Syntax:
 +
  http://wiki.hevs.ch/uit/index.php?title=page_name&feed=rss
 +
 +
Replace the parameters with your terms
 +
* page_name : name of the page
 +
 +
==== RSS Feed of Recent Changes ====
 +
http://wiki.hevs.ch/uit/index.php?title=Special:RecentChanges&feed=rss
  
 
== Article Comment ==
 
== Article Comment ==
Line 136: Line 155:
 
<pre> <comments > </pre>
 
<pre> <comments > </pre>
 
<comments >
 
<comments >
 +
 +
 +
 +
  
 
[[Category:Help]]
 
[[Category:Help]]

Latest revision as of 12:50, 16 November 2015

Contents

Math

To write a math formula in LaTeX, click on the math button at the top of the article edition, or write your formula beetween <math> and </math> tags.

<math>
 \operatorname{erfc}(x) =
 \frac{2}{\sqrt{\pi}} \int_x^{\infty} e^{-t^2}\,dt =
 \frac{e^{-x^2}}{x\sqrt{\pi}}\sum_{n=0}^\infty (-1)^n \frac{(2n)!}{n!(2x)^{2n}}
</math>


   \operatorname{erfc}(x) =
   \frac{2}{\sqrt{\pi}} \int_x^{\infty} e^{-t^2}\,dt =
   \frac{e^{-x^2}}{x\sqrt{\pi}}\sum_{n=0}^\infty (-1)^n \frac{(2n)!}{n!(2x)^{2n}}

Syntax Highlighting Geshi

To highlight a code in any language, click on the GeSHi button at the top of the article, write your code between the <source> </source> tags and select a language entering the language name in the lang parameter in the tag <source lang="VHDL"> </source>

<source lang="VHDL">
-- This is a VHDL syntax highlight example
-- import std_logic from the IEEE library
library IEEE;
use IEEE.std_logic_1164.all;
 
-- this is the entity
entity ANDGATE is
  port ( 
    I1 : in std_logic;
    I2 : in std_logic;
    O  : out std_logic);
end entity ANDGATE;
 
architecture RTL of ANDGATE is
begin
  O <= I1 and I2;
end architecture RTL;
</source>
-- This is a VHDL syntax highlight example
-- import std_logic from the IEEE library
library IEEE;
use IEEE.std_logic_1164.all;
 
-- this is the entity
entity ANDGATE is
  port ( 
    I1 : in std_logic;
    I2 : in std_logic;
    O  : out std_logic);
end entity ANDGATE;
 
architecture RTL of ANDGATE is
begin
  O <= I1 and I2;
end architecture RTL;

List of avalaible language : ABAP, Actionscript, ADA, Apache Log, AppleScript, APT sources.list, ASM (m68k), ASM (pic16), ASM (x86), ASM (z80), ASP, AutoIT, Backus-Naur form, Bash, Basic4GL, BlitzBasic, Brainfuck, C, C for Macs, C#, C++, C++ (with QT), CAD DCL, CadLisp, CFDG, CIL / MSIL, COBOL, ColdFusion, CSS, D, Delphi, Diff File Format, DIV, DOS, DOT language, Eiffel, Fortran, FourJ's Genero, FreeBasic, GetText, glSlang, GML, gnuplot, Groovy, Haskell, HQ9+, HTML, INI (Config Files), Inno, INTERCAL, IO, Java, Java 5, Javascript, KiXtart, KLone C & C++, LaTeX, Lisp, LOLcode, LotusScript, LScript, Lua, Make, mIRC, MXML, MySQL, NSIS, Objective C, OCaml, OpenOffice BASIC, Oracle 8 & 11 SQL, Pascal, Perl, PHP, Pixel Bender, PL/SQL, POV-Ray, PowerShell, Progress (OpenEdge ABL), Prolog, ProvideX, Python, Q(uick)BASIC, robots.txt, Ruby, Ruby on Rails, SAS, Scala, Scheme, Scilab, SDLBasic, Smalltalk, Smarty, SQL, T-SQL, TCL, thinBasic, TypoScript, Uno IDL, VB.NET, Verilog, VHDL, VIM Script, Visual BASIC, Visual Fox Pro, Visual Prolog, Whitespace, Winbatch, Windows Registry Files, X++, XML, Xorg.conf

Subpagelist

the Subpagelist extentions allows to structure the Wiki pages with the help of pagename/subpagename/sbusubpagename, to create a hierarchical structure. The Tag splist allows to display all subpages.

Example with use of all available attributes.

<splist
  parent=
  showparent=yes
  sort=desc
  sortby=title
  liststyle=ordered
  showpath=no
  kidsonly=no
  debug=0
/>
  • Liststyle: default is unordered; values are ordered|unordered|bar. Toggles list between <ol> and <ul> lists. The bar value causes the list to align horizontally with · symbols acting as delimiters (for bar lists rather than tree lists).
  • Sort: default is asc; values are asc|desc. Toggles list between ascending and descending sort order. By default, the tag sorts subpages in ascending alphabetical order; all sorting, however, is subject to hierarchical position - i.e. pages will always be subordinated to their parents; sorting is only among siblings.
  • Sortby: default is title; values are title|lastedit. Toggles list sort determinant between title and date of the last edit.
  • Showpath: default is no; values are no|notparent|full. Determines how the title of each page is displayed in the list. "No" means that only the subpage title (i.e. the bit after the last slash) is displayed. "Notparent" shows the full path without the top level. "Full" shows all levels in the page title name including the top level (e.g. "my page/my subpage/my subpage2").
  • Kidsonly: default is no; values are yes|no. If this is set to "yes", then only the first generation of subpages (i.e. the children but not the grandchildren) is displayed. This is especially useful if the liststyle is set to bar. It's also good if you just want a list rather than a tree.
  • Parent: by default the tag analyses the subpage system of its own page. However you can set the tag to analyse and display the subpage system of any other page on the wiki. Use local name format. E.g. "parent=Template:FOO" (with a namespace) or "parent=My Page" (in the main namespace). A common source of error is typing the page name incorrectly. The extension checks whether or not the page you name actually exists, and will report an error if it doesn't.
  • Showparent: default is no; values are yes|no. If this is set to "yes", then the page indicated by parent (above) will be inserted as the top level item in the list. If the list is a bulletted list, then this top level item will have a bullet and the rest of the list will be indented one level further than it would be otherwise.
  • Debug: you can switch debugging on by setting this option to 1; the debugging goes no further than reporting invalid input for the other options.

Examples

<splist parent=Tools/>

File & Picture, Upload & Download

To upload a file click on the Upload File button that is located on the left panel. Your file must be smaller than 2MB and the extension must be authorised.

For the moment the authorized extentions are: png, gif, jpg, jpeg

RSS Feed

Display RSS Feeds

Use one section between Template:Tag-tags for each feed. The rss element may contain parameters if you provide them as attributes to the rss element:

Parameters in the RSS tag
parameter (optional) comment
template="name" 'name' (default: rss-item) is the name of a page in the MediaWiki template namespace (default: MediaWiki:Rss-item), which is a template which comprises the name of another template (default: Template:RSSPost) and a list of named variables of RSS Feed channel subelements (item), these values then being passed to this other template to finally format the RSS items
templatename="Pagename" or

templatename="Namespace:Pagename"

'Pagename' is the name of a template page which is used in the default template MediaWiki:Rss-item (defining the channel subelements) to finally format the RSS items; the template can be in the template namespace (first example denotes a page Template:Pagename) or any other namespace (second example uses the full specified form Namespace:Pagename)
max="n" show at most n channel subelements (items)
highlight="term1 term2 ..." highlight terms in different colours
filter="term1 term2 ..." show only RSS items with at least one of the terms
filterout="term1 term2 ..." do not show any RSS item containing any of these terms
reverse display the RSS items in reverse order

This extension supports filtering-out (i.e. grep -v) items based on title when in short list mode.


Example

<rss max=5 highlight="foundation public donation research community">http://blog.wikimedia.org/feed/</rss>

Failed to load RSS feed from http://blog.wikimedia.org/feed/: Error parsing XML for RSS

Create RSS Feed

It is possible to create RSS Feed's of pages

General Syntax:

 http://wiki.hevs.ch/uit/index.php?title=page_name&feed=rss

Replace the parameters with your terms

  • page_name : name of the page

RSS Feed of Recent Changes

http://wiki.hevs.ch/uit/index.php?title=Special:RecentChanges&feed=rss

Article Comment

To allow comments in a page, at the end of the article,click on the comment button at the top of the article edition or write "<comments >" at the end of the article

 <comments > 

Personal tools
Namespaces
Variants
Actions
Navigation
Browse
Toolbox